SlideShare a Scribd company logo
MTE PRESENTATION
Elevator Control
System
DELHI TECHNOLOGICAL
UNIVERSITY
YASH GUPTA
2K20/EC/241
NEXT
AIM
The project's purpose is to create and install an elevator/lift controller using Verilog. The Elevator Controller is a device that
controls the motion of a lift while also displaying information such as trip directions, current floor level, and so on. To regulate
the lift motion, the device generates control signals and accepts the floor level as input. The elevator control is built on a shift
register, three elevator cases, and a while loop when you receive the Request Floor.
Introduction
This project is for an elevator controller with eight floors. of an integrated circuit that
can be utilized in an elevator controller. By comparing the requested floor to the
present floor, the elevator determines which way to move. The weight must be less
than 4500 pounds, and the door must close in less than three minutes. If the weight
exceeds it, the elevator will immediately sound an alarm. The Door Alert signal is
generally low, but when the door is left open for more than three minutes, it becomes
high. Each floor contains a sensor that detects whether the elevator has passed the
current floor. The core parts of the design are the shift register, three cases of the
elevator, and the while loop when receive Request Floor. The key advantage of
VERILOG when used for designing a system is that it allows the behaviour of the
required system to be described (modelled) and verified (simulated) finally synthesis
tools translate the raw design into a real hardware. VERILOG project is multipurpose
one. Being created once, the block can be used in many projects. However, many
formational and functional block parameters can be tuned such as capacity
parameters, memory size, element base, block composition and interconnection
structure.
Implementaion Idea
In the coding portion, I used a variety of methods to make the programme work.
I titled the input and output current floors In Current Floor and Out Current Floor to avoid having the same variable name as the
output and input. Second, we provide two additional input pins in the code: Over Time and Over Weight. These signals will be
sent to the controller by the mechanical mechanism. When the controller receives a signal from a weight alert or a door alert, the
complete will become one, letting the elevator to remain stationary at the Out Current Floor.
Third, assign regs to the output for the Out Current Floor, Direction, Complete, Door Alert, and Weight Alert. As a result, such
variables can act as a register as well as an output. The variables Complete, Door Alert, and Weight Alert are all set to zero when
the Reset is switched off. The variable In Current Floor is only set to equal Out Current Floor once when the Request Floor is
enabled. Out Current Floor then changes (updates) and compares to Request Floor until Out Current Floor equals Request Floor,
while In Current Floor remains constant.
Implementation
Case A
When Request floor = 00000001; In_Current_floor = 10000000
The elevator moves up from eighth floor to ground floor.
Implementation
Case B
When Request floor = 10000001; In_Current_floor = 00000001
The elevator moves up from ground floor to eighth floor.
The report explains the design of the Elevator Control System proposed using Verilog and is implemented using the ModelSim
software tool. This project presented the basic ideas of how normal elevators work in many cases, even though it is simplified.
Finally, the elevator controller's operation was confirmed, tested, and the results were plotted.
Conclusion
Samir Palnitkar, Verilog HDL: A Guide to Digital Design and Synthesis, Prentice Hall Proffesional 2003
T. R. Padmanabhan; B. Bala Tripura Sundari, "Introduction to Verilog," in Design Through Verilog HDL


Morris Mano, Michael D. Ciletti: Digital Design with an Introduction to the Verilog HDL
Wakerly, John F:"Digital Design Principles and Practices"
References

More Related Content

What's hot

Traffic Lights Controller in VHDL
Traffic Lights Controller in VHDLTraffic Lights Controller in VHDL
Traffic Lights Controller in VHDL
Abhishek Jaisingh
 
7 segment led interfacing with 8051
7 segment led interfacing with 80517 segment led interfacing with 8051
7 segment led interfacing with 8051
Sam Patel
 
Modified booth's algorithm Part 2
Modified booth's algorithm Part 2Modified booth's algorithm Part 2
Modified booth's algorithm Part 2
babuece
 
speed control of induction motor using plc and vfd
speed control of induction motor using plc and vfdspeed control of induction motor using plc and vfd
speed control of induction motor using plc and vfd
manishrair
 
Interrupt programming with 8051 microcontroller
Interrupt programming with 8051  microcontrollerInterrupt programming with 8051  microcontroller
Interrupt programming with 8051 microcontroller
Ankit Bhatnagar
 
8051 ch9-950217
8051 ch9-9502178051 ch9-950217
8051 ch9-950217
Gopal Krishna Murthy C R
 
8051 assembly programming
8051 assembly programming8051 assembly programming
8051 assembly programming
sergeiseq
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
Digital Marketing Evangelist
 
Density based traffic signal system
Density based traffic signal systemDensity based traffic signal system
Density based traffic signal system
Edgefxkits & Solutions
 
Sequential multiplication
Sequential multiplicationSequential multiplication
Sequential multiplication
Taqwa It Center
 
Embedded System
Embedded System Embedded System
Embedded System
Sonali Parab
 
Microprocessor and Microcontroller Lab Manual
Microprocessor and Microcontroller Lab ManualMicroprocessor and Microcontroller Lab Manual
Microprocessor and Microcontroller Lab Manual
Santhosh Kumar
 
Voice control Wheelchair
Voice control WheelchairVoice control Wheelchair
Voice control Wheelchair
Jayesh Rathod
 
Interfacing with peripherals: analog to digital converters and digital to ana...
Interfacing with peripherals: analog to digital converters and digital to ana...Interfacing with peripherals: analog to digital converters and digital to ana...
Interfacing with peripherals: analog to digital converters and digital to ana...
NimeshSingh27
 
Chapter 4 Embedded System: Application and Domain Specific
Chapter 4 Embedded System: Application and Domain SpecificChapter 4 Embedded System: Application and Domain Specific
Chapter 4 Embedded System: Application and Domain Specific
Moe Moe Myint
 
The look up table (LUT)
The look up table (LUT)The look up table (LUT)
The look up table (LUT)
Basel Mansour
 
Smart vehicle mini project
Smart vehicle mini projectSmart vehicle mini project
Smart vehicle mini project
Naga Muruga
 
Question Bank Programmable Logic Controller
Question Bank Programmable Logic ControllerQuestion Bank Programmable Logic Controller
Question Bank Programmable Logic Controller
Nilesh Bhaskarrao Bahadure
 
Question paper with solution the 8051 microcontroller based embedded systems...
Question paper with solution  the 8051 microcontroller based embedded systems...Question paper with solution  the 8051 microcontroller based embedded systems...
Question paper with solution the 8051 microcontroller based embedded systems...
manishpatel_79
 
Plc based elevator
Plc based elevatorPlc based elevator
Plc based elevator
ShivamChauhan143
 

What's hot (20)

Traffic Lights Controller in VHDL
Traffic Lights Controller in VHDLTraffic Lights Controller in VHDL
Traffic Lights Controller in VHDL
 
7 segment led interfacing with 8051
7 segment led interfacing with 80517 segment led interfacing with 8051
7 segment led interfacing with 8051
 
Modified booth's algorithm Part 2
Modified booth's algorithm Part 2Modified booth's algorithm Part 2
Modified booth's algorithm Part 2
 
speed control of induction motor using plc and vfd
speed control of induction motor using plc and vfdspeed control of induction motor using plc and vfd
speed control of induction motor using plc and vfd
 
Interrupt programming with 8051 microcontroller
Interrupt programming with 8051  microcontrollerInterrupt programming with 8051  microcontroller
Interrupt programming with 8051 microcontroller
 
8051 ch9-950217
8051 ch9-9502178051 ch9-950217
8051 ch9-950217
 
8051 assembly programming
8051 assembly programming8051 assembly programming
8051 assembly programming
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Density based traffic signal system
Density based traffic signal systemDensity based traffic signal system
Density based traffic signal system
 
Sequential multiplication
Sequential multiplicationSequential multiplication
Sequential multiplication
 
Embedded System
Embedded System Embedded System
Embedded System
 
Microprocessor and Microcontroller Lab Manual
Microprocessor and Microcontroller Lab ManualMicroprocessor and Microcontroller Lab Manual
Microprocessor and Microcontroller Lab Manual
 
Voice control Wheelchair
Voice control WheelchairVoice control Wheelchair
Voice control Wheelchair
 
Interfacing with peripherals: analog to digital converters and digital to ana...
Interfacing with peripherals: analog to digital converters and digital to ana...Interfacing with peripherals: analog to digital converters and digital to ana...
Interfacing with peripherals: analog to digital converters and digital to ana...
 
Chapter 4 Embedded System: Application and Domain Specific
Chapter 4 Embedded System: Application and Domain SpecificChapter 4 Embedded System: Application and Domain Specific
Chapter 4 Embedded System: Application and Domain Specific
 
The look up table (LUT)
The look up table (LUT)The look up table (LUT)
The look up table (LUT)
 
Smart vehicle mini project
Smart vehicle mini projectSmart vehicle mini project
Smart vehicle mini project
 
Question Bank Programmable Logic Controller
Question Bank Programmable Logic ControllerQuestion Bank Programmable Logic Controller
Question Bank Programmable Logic Controller
 
Question paper with solution the 8051 microcontroller based embedded systems...
Question paper with solution  the 8051 microcontroller based embedded systems...Question paper with solution  the 8051 microcontroller based embedded systems...
Question paper with solution the 8051 microcontroller based embedded systems...
 
Plc based elevator
Plc based elevatorPlc based elevator
Plc based elevator
 

Similar to Elevator Control System using Verilog

Elevator1
Elevator1Elevator1
Elevator1
cognosvlsi
 
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Dimuthu Darshana
 
Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...
IJERA Editor
 
Project 1.2.1
Project 1.2.1Project 1.2.1
Project 1.2.1
martina_vels
 
mechanical transportation
mechanical transportationmechanical transportation
mechanical transportation
AnsherinaDelMundo
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Kyle Zheng
 
BS 2 Lift presentation 3
BS 2 Lift presentation 3BS 2 Lift presentation 3
BS 2 Lift presentation 3
Est
 
BESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptxBESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptx
Manjunathtv2
 
Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA
IJECEIAES
 
Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Rishabh Prakash
 
4.remote control mini forklift
4.remote control mini forklift4.remote control mini forklift
4.remote control mini forklift
Sathis Kumar
 
Lecture_05_Design_of_Mechatronics_Systems_03.pdf
Lecture_05_Design_of_Mechatronics_Systems_03.pdfLecture_05_Design_of_Mechatronics_Systems_03.pdf
Lecture_05_Design_of_Mechatronics_Systems_03.pdf
mohamedsamy9878
 
PLC 4.docx
PLC 4.docxPLC 4.docx
PLC 4.docx
Mahamad Jawhar
 
Vt training plc_1
Vt training plc_1Vt training plc_1
Vt training plc_1
Kumar Navaneethan
 
DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2
Stepan Mitkin
 
PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project
Mahamudul karim Khondaker
 
Plc documentation final
Plc documentation finalPlc documentation final
Plc documentation final
Sonu Kumar
 
Fuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane StructureFuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane Structure
ijeei-iaes
 
Automatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentationAutomatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentation
DaniyalMeesum
 

Similar to Elevator Control System using Verilog (20)

Elevator1
Elevator1Elevator1
Elevator1
 
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...Design, analysis and controlling of an offshore load transfer system Dimuthu ...
Design, analysis and controlling of an offshore load transfer system Dimuthu ...
 
Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...Control the Stability and Steady State When the Elevator Reached the Requeste...
Control the Stability and Steady State When the Elevator Reached the Requeste...
 
Project 1.2.1
Project 1.2.1Project 1.2.1
Project 1.2.1
 
mechanical transportation
mechanical transportationmechanical transportation
mechanical transportation
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...
 
BS 2 Lift presentation 3
BS 2 Lift presentation 3BS 2 Lift presentation 3
BS 2 Lift presentation 3
 
BESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptxBESCK104D_EME Module 5.pptx
BESCK104D_EME Module 5.pptx
 
Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA Elevator controller based on implementing a random access memory in FPGA
Elevator controller based on implementing a random access memory in FPGA
 
Internship Report (VTOL) (2)
Internship Report (VTOL) (2)Internship Report (VTOL) (2)
Internship Report (VTOL) (2)
 
4.remote control mini forklift
4.remote control mini forklift4.remote control mini forklift
4.remote control mini forklift
 
Lecture_05_Design_of_Mechatronics_Systems_03.pdf
Lecture_05_Design_of_Mechatronics_Systems_03.pdfLecture_05_Design_of_Mechatronics_Systems_03.pdf
Lecture_05_Design_of_Mechatronics_Systems_03.pdf
 
Vt training plc_1
Vt training plc_1Vt training plc_1
Vt training plc_1
 
PLC 4.docx
PLC 4.docxPLC 4.docx
PLC 4.docx
 
Vt training plc_1
Vt training plc_1Vt training plc_1
Vt training plc_1
 
DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2DRAKON Visual Language: Tutorial. Part 2
DRAKON Visual Language: Tutorial. Part 2
 
PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project PLC Based Elevator, Power Electronic Project
PLC Based Elevator, Power Electronic Project
 
Plc documentation final
Plc documentation finalPlc documentation final
Plc documentation final
 
Fuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane StructureFuzzy Control of a Large Crane Structure
Fuzzy Control of a Large Crane Structure
 
Automatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentationAutomatic car parking barrier system using plc presentation
Automatic car parking barrier system using plc presentation
 

More from VijayMaheshwari12

Image Steganography using MATLAB
Image Steganography using MATLABImage Steganography using MATLAB
Image Steganography using MATLAB
VijayMaheshwari12
 
4-way-traffic-control.pptx
4-way-traffic-control.pptx4-way-traffic-control.pptx
4-way-traffic-control.pptx
VijayMaheshwari12
 
Automated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontrollerAutomated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontroller
VijayMaheshwari12
 
Traffic Light Controller.pdf
Traffic Light Controller.pdfTraffic Light Controller.pdf
Traffic Light Controller.pdf
VijayMaheshwari12
 
Image Steganography Project Report
Image Steganography Project ReportImage Steganography Project Report
Image Steganography Project Report
VijayMaheshwari12
 
1. Vector Analysis.pptx
1. Vector Analysis.pptx1. Vector Analysis.pptx
1. Vector Analysis.pptx
VijayMaheshwari12
 

More from VijayMaheshwari12 (6)

Image Steganography using MATLAB
Image Steganography using MATLABImage Steganography using MATLAB
Image Steganography using MATLAB
 
4-way-traffic-control.pptx
4-way-traffic-control.pptx4-way-traffic-control.pptx
4-way-traffic-control.pptx
 
Automated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontrollerAutomated Traffic Light control using 8051 microcontroller
Automated Traffic Light control using 8051 microcontroller
 
Traffic Light Controller.pdf
Traffic Light Controller.pdfTraffic Light Controller.pdf
Traffic Light Controller.pdf
 
Image Steganography Project Report
Image Steganography Project ReportImage Steganography Project Report
Image Steganography Project Report
 
1. Vector Analysis.pptx
1. Vector Analysis.pptx1. Vector Analysis.pptx
1. Vector Analysis.pptx
 

Recently uploaded

Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
manasideore6
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Unbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptxUnbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptx
ChristineTorrepenida1
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
camseq
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
Dr Ramhari Poudyal
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
An Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering TechniquesAn Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering Techniques
ambekarshweta25
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
Kamal Acharya
 

Recently uploaded (20)

Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Unbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptxUnbalanced Three Phase Systems and circuits.pptx
Unbalanced Three Phase Systems and circuits.pptx
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
Literature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptxLiterature Review Basics and Understanding Reference Management.pptx
Literature Review Basics and Understanding Reference Management.pptx
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
An Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering TechniquesAn Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering Techniques
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
 

Elevator Control System using Verilog

  • 1. MTE PRESENTATION Elevator Control System DELHI TECHNOLOGICAL UNIVERSITY YASH GUPTA 2K20/EC/241 NEXT
  • 2. AIM The project's purpose is to create and install an elevator/lift controller using Verilog. The Elevator Controller is a device that controls the motion of a lift while also displaying information such as trip directions, current floor level, and so on. To regulate the lift motion, the device generates control signals and accepts the floor level as input. The elevator control is built on a shift register, three elevator cases, and a while loop when you receive the Request Floor.
  • 3. Introduction This project is for an elevator controller with eight floors. of an integrated circuit that can be utilized in an elevator controller. By comparing the requested floor to the present floor, the elevator determines which way to move. The weight must be less than 4500 pounds, and the door must close in less than three minutes. If the weight exceeds it, the elevator will immediately sound an alarm. The Door Alert signal is generally low, but when the door is left open for more than three minutes, it becomes high. Each floor contains a sensor that detects whether the elevator has passed the current floor. The core parts of the design are the shift register, three cases of the elevator, and the while loop when receive Request Floor. The key advantage of VERILOG when used for designing a system is that it allows the behaviour of the required system to be described (modelled) and verified (simulated) finally synthesis tools translate the raw design into a real hardware. VERILOG project is multipurpose one. Being created once, the block can be used in many projects. However, many formational and functional block parameters can be tuned such as capacity parameters, memory size, element base, block composition and interconnection structure.
  • 4. Implementaion Idea In the coding portion, I used a variety of methods to make the programme work. I titled the input and output current floors In Current Floor and Out Current Floor to avoid having the same variable name as the output and input. Second, we provide two additional input pins in the code: Over Time and Over Weight. These signals will be sent to the controller by the mechanical mechanism. When the controller receives a signal from a weight alert or a door alert, the complete will become one, letting the elevator to remain stationary at the Out Current Floor. Third, assign regs to the output for the Out Current Floor, Direction, Complete, Door Alert, and Weight Alert. As a result, such variables can act as a register as well as an output. The variables Complete, Door Alert, and Weight Alert are all set to zero when the Reset is switched off. The variable In Current Floor is only set to equal Out Current Floor once when the Request Floor is enabled. Out Current Floor then changes (updates) and compares to Request Floor until Out Current Floor equals Request Floor, while In Current Floor remains constant.
  • 5. Implementation Case A When Request floor = 00000001; In_Current_floor = 10000000 The elevator moves up from eighth floor to ground floor.
  • 6. Implementation Case B When Request floor = 10000001; In_Current_floor = 00000001 The elevator moves up from ground floor to eighth floor.
  • 7. The report explains the design of the Elevator Control System proposed using Verilog and is implemented using the ModelSim software tool. This project presented the basic ideas of how normal elevators work in many cases, even though it is simplified. Finally, the elevator controller's operation was confirmed, tested, and the results were plotted. Conclusion
  • 8. Samir Palnitkar, Verilog HDL: A Guide to Digital Design and Synthesis, Prentice Hall Proffesional 2003 T. R. Padmanabhan; B. Bala Tripura Sundari, "Introduction to Verilog," in Design Through Verilog HDL Morris Mano, Michael D. Ciletti: Digital Design with an Introduction to the Verilog HDL Wakerly, John F:"Digital Design Principles and Practices" References