SlideShare a Scribd company logo
1 of 24
Download to read offline
Dept. Of Electronics and Communication Engineering,
VIT UNIVERSITY,
CHENNAI CAMPUS

“Four Elevator Controller”
A Report submitted for PBL in VLSI System Design (ECE301)
by

1. Akshay Thakur (11BEC1057)
2. Bhagwat Singh (11BEC1070)
3. Lovish Jindal (11BEC1129)

Under the guidance and supervision of

Dr. Vigneswaran T
Dept. of SENSE
VIT UNIVERSITY,
CHENNAI CAMPUS
Aim: To design and implementation of embedded based elevator control system on FPGA
board using Xilinx tool.

Abstract: The elevator control system is one of the important aspects in electronics control
module in automotive application. In this investigation elevator control system is designed with
different control strategies. First the elevator control system is implemented for multi-storage
building. This implementation is based on FPGA based Fuzzy logic controller for intelligent
control of elevator group system. This proposed approach is based on algorithm which is
developed to reduce the amount of computation required by focusing only on relevant rules and
ignoring those which are irrelevant to the condition for better performance of the group of
elevator system. Here we have designed controller for four elevators group.

Algorithm: Elevator controller controls the entire operation of the Dual elevator system. The
proximity sensors located to sense the positions of the elevators, provide the current state storing
it in register. The obstruction sensors provide the status of obstruction. The elevator controller
also reads the requests through the flip-flops. If the door of any elevator is open, the timer signals
from the elevator keep the controller informed of being busy. The control state machine receives
all these signals. It is programmed to the algorithm by which it should control the system. The
CSM, then generates control signals for the next position and movement of the elevators.
Elevators on receiving the signal address to the request, as been asked by the controller.
Block diagrams:
Simple elevator control system inputs and outputs:

From the point of view of an individual user:
From the point of view as a system being acted on by many users:
General Control Loop block diagram:

Assumptions:
Initially sensors and timers input are taken by default (no timer or sensor obstacles)
Elevators Priority:
Every lift will give higher priority to inside inputs than outside inputs
If input from 1st floor outside than E1 will complete the task.
If input from 2nd floor outside than E2 will complete the task.
If input from 3rd floor outside than E3 will complete the task.
If input from 4th floor outside than E4 will complete the task.
Default State:
E1 –first floor
E2 –second floor
E3 –third floor
E4 –fourth floor
Working:
Every elevator has inside buttons for 1 to 4 floors and outside call button at every floor. The
sensor signal will sense the obstruction and timer, so that the signal from controller will be send
to door operator and hence the door operator will control the elevator according to the request.

Block diagram for controller working

Verilog Code:
`timescale 1ps/1ps // time scale of 1ns and resolution 0.01 ns
module fe_demo( input clk, reset, req_1F, req_2F, req_3F, req_4F,
req_E1_1, req_E1_2, req_E1_3, req_E1_4,
req_E2_1, req_E2_2, req_E2_3, req_E2_4,
req_E3_1, req_E3_2, req_E3_3, req_E3_4,
req_E4_1, req_E4_2, req_E4_3, req_E4_4,
output reg [1:0]E1_pos, E2_pos, E3_pos, E4_pos,
output reg [7:0]o1, o2, o3, o4);
reg [1:0]E1_next_pos, E2_next_pos, E3_next_pos, E4_next_pos;
reg dg;
parameter firstfloor= 2'b00,
secondfloor = 2'b01,
thirdfloor = 2'b10,
fourthfloor = 2'b11;

always@(posedge clk, reset) begin
if (reset)
begin
E1_pos <= firstfloor;
E2_pos <= secondfloor;
E3_pos <= thirdfloor;
E4_pos <= fourthfloor;
end
else
begin
E1_pos <= E1_next_pos;
E2_pos <= E2_next_pos;
E3_pos <= E3_next_pos;
E4_pos <= E4_next_pos;
end
if (E1_pos==firstfloor)
begin
o1=8'b10011111;
dg=4'b1110;
end
if (E1_pos==secondfloor)
begin
o1=8'b00100101;
dg=4'b1110;
end
if (E1_pos==thirdfloor)
begin
o1=8'b00001101;
dg=4'b1110;
end
if (E1_pos==fourthfloor)
begin
o1=8'b10011001;
dg=4'b1110;
end
if (E2_pos==firstfloor)
begin
o2=8'b10011111;
dg=4'b1101;
end
if (E2_pos==secondfloor)
begin
o2=8'b00100101;
dg=4'b1101;
end
if (E2_pos==thirdfloor)
begin
o2=8'b00001101;
dg=4'b1101;
end
if (E2_pos==fourthfloor)
begin
o2=8'b10011001;
dg=4'b1101;
end
if (E3_pos==firstfloor)
begin
o3=8'b10011111;
dg=4'b1011;
end
if (E3_pos==secondfloor)
begin
o3=8'b00100101;
dg=4'b1011;
end
if (E3_pos==thirdfloor)
begin
o3=8'b00001101;
dg=4'b1011;
end
if (E3_pos==fourthfloor)
begin
o3=8'b10011001;
dg=4'b1011;
end
if (E4_pos==firstfloor)
begin
o4=8'b10011111;
dg=4'b0111;
end
if (E4_pos==secondfloor)
begin
o4=8'b00100101;
dg=4'b0111;
end
if (E4_pos==thirdfloor)
begin
o4=8'b00001101;
dg=4'b0111;
end
if (E4_pos==fourthfloor)
begin
o4=8'b10011001;
dg=4'b0111;
end
end
always@(posedge clk, reset, E1_pos, E2_pos, E3_pos, E4_pos, req_1F, req_2F, req_3F, req_4F, req_E1_1,
req_E1_2, req_E1_3, req_E1_4,
req_E2_1, req_E2_2, req_E2_3, req_E2_4, req_E3_1, req_E3_2, req_E3_3, req_E3_4, req_E4_1, req_E4_2,
req_E4_3, req_E4_4)
begin
begin
if (req_1F==1)
begin
E1_next_pos<=firstfloor;
end
if (req_2F==1)
begin
E2_next_pos<=secondfloor;
end
if (req_3F==1)
begin
E3_next_pos<=thirdfloor;
end
if (req_4F==1)
begin
E4_next_pos<=fourthfloor;
end
end
begin
if (req_E1_1==1)
E1_next_pos<=firstfloor;
if (req_E1_2==1)
E1_next_pos<=secondfloor;
if (req_E1_3==1)
E1_next_pos<=thirdfloor;
if (req_E1_4==1)
E1_next_pos<=fourthfloor;
end
begin
if (req_E2_1==1)
E2_next_pos<=firstfloor;
if (req_E2_2==1)
E2_next_pos<=secondfloor;
if (req_E2_3==1)
E2_next_pos<=thirdfloor;
if (req_E2_4==1)
E2_next_pos<=fourthfloor;
end
begin
if (req_E3_1==1)
E3_next_pos<=firstfloor;
if (req_E3_2==1)
E3_next_pos<=secondfloor;
if (req_E3_3==1)
E3_next_pos<=thirdfloor;
if (req_E3_4==1)
E3_next_pos<=fourthfloor;
end
begin
if (req_E4_1==1)
E4_next_pos<=firstfloor;
if (req_E4_2==1)
E4_next_pos<=secondfloor;
if (req_E4_3==1)
E4_next_pos<=thirdfloor;
if (req_E4_4==1)
E4_next_pos<=fourthfloor;
end
end
endmodule

verilog testbench code:
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 12:13:31 11/11/2013
// Design Name: fe_demo
// Module Name: C:/Users/BHAGWAT/Documents/Xilinx/final_review/test.v
// Project Name: final_review
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: fe_demo
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////

module test;

// Inputs
reg clk;
reg reset;
reg req_1F;
reg req_2F;
reg req_3F;
reg req_4F;
reg req_E1_1;
reg req_E1_2;
reg req_E1_3;
reg req_E1_4;
reg req_E2_1;
reg req_E2_2;
reg req_E2_3;
reg req_E2_4;
reg req_E3_1;
reg req_E3_2;
reg req_E3_3;
reg req_E3_4;
reg req_E4_1;
reg req_E4_2;
reg req_E4_3;
reg req_E4_4;

// Outputs
wire [1:0] E1_pos;
wire [1:0] E2_pos;
wire [1:0] E3_pos;
wire [1:0] E4_pos;
wire [7:0] o1;
wire [7:0] o2;
wire [7:0] o3;
wire [7:0] o4;

// Instantiate the Unit Under Test (UUT)
fe_demo uut (
.clk(clk),
.reset(reset),
.req_1F(req_1F),
.req_2F(req_2F),
.req_3F(req_3F),
.req_4F(req_4F),
.req_E1_1(req_E1_1),
.req_E1_2(req_E1_2),
.req_E1_3(req_E1_3),
.req_E1_4(req_E1_4),
.req_E2_1(req_E2_1),
.req_E2_2(req_E2_2),
.req_E2_3(req_E2_3),
.req_E2_4(req_E2_4),
.req_E3_1(req_E3_1),
.req_E3_2(req_E3_2),
.req_E3_3(req_E3_3),
.req_E3_4(req_E3_4),
.req_E4_1(req_E4_1),
.req_E4_2(req_E4_2),
.req_E4_3(req_E4_3),
.req_E4_4(req_E4_4),
.E1_pos(E1_pos),
.E2_pos(E2_pos),
.E3_pos(E3_pos),
.E4_pos(E4_pos),
.o1(o1),
.o2(o2),
.o3(o3),
.o4(o4)
);

initial begin
// Initialize Inputs
clk = 0;
end
always
clk=#10 ~clk;
initial begin

reset = 1;
req_1F = 0;
req_2F = 0;
req_3F = 0;
req_4F = 0;
req_E1_1 = 0;
req_E1_2 = 0;
req_E1_3 = 0;
req_E1_4 = 0;
req_E2_1 = 0;
req_E2_2 = 0;
req_E2_3 = 0;
req_E2_4 = 0;
req_E3_1 = 0;
req_E3_2 = 0;
req_E3_3 = 0;
req_E3_4 = 0;
req_E4_1 = 0;
req_E4_2 = 0;
req_E4_3 = 0;
req_E4_4 = 0;

// Wait 100 ns for global reset to finish
#100;
reset = 0;
req_1F = 0;
req_2F = 0;
req_3F = 0;
req_4F = 0;
req_E1_1 = 0;
req_E1_2 = 1;
req_E1_3 = 0;
req_E1_4 = 0;
req_E2_1 = 0;
req_E2_2 = 0;
req_E2_3 = 0;
req_E2_4 = 1;
req_E3_1 = 1;
req_E3_2 = 0;
req_E3_3 = 0;
req_E3_4 = 0;
req_E4_1 = 0;
req_E4_2 = 0;
req_E4_3 = 1;
req_E4_4 = 0;

// Wait 100 ns for global reset to finish
#100;
reset = 0;
req_1F = 1;
req_2F = 1;
req_3F = 1;
req_4F = 1;
req_E1_1 = 0;
req_E1_2 = 0;
req_E1_3 = 0;
req_E1_4 = 0;
req_E2_1 = 0;
req_E2_2 = 0;
req_E2_3 = 0;
req_E2_4 = 0;
req_E3_1 = 0;
req_E3_2 = 0;
req_E3_3 = 0;
req_E3_4 = 0;
req_E4_1 = 0;
req_E4_2 = 0;
req_E4_3 = 0;
req_E4_4 = 0;

// Add stimulus here
end
endmodule

Simulation result:
For t=1ns to 100 ns
Inputs:
Reset=1
Output:
E1-first floor
E2-second floor
E3-third floor
E4-fourth floor
For t=101ns to 200ns
Inputs:
Inside 2nd floor request from E1 elevator
Inside 4th floor request from E2 elevator
Inside 1st floor request from E3 elevator
Inside 3rd floor request from E4 elevator
Outputs:
E1-second floor
E2-fourth floor
E3-first floor
E4-third floor
For t=201ns to 300ns
Inputs:
Request from 1st floor outside
Request from 2nd floor outside
Request from 3rd floor outside
Request from 4th floor outside
Outputs:
E1-first floor
E2-second floor
E3-third floor
E4-fourth floor
Conclusion:
Four elevator controller is implemented successfully on FPGA board using Xilinx tool. It is also
simulated on Xilinx tool.

More Related Content

What's hot

Finite state machine based vending machine IEEE Paper
Finite state machine based vending machine IEEE PaperFinite state machine based vending machine IEEE Paper
Finite state machine based vending machine IEEE PaperPratik Patil
 
Chebyshev filter
Chebyshev filterChebyshev filter
Chebyshev filterMOHAMMAD AKRAM
 
Overlap Add, Overlap Save(digital signal processing)
Overlap Add, Overlap Save(digital signal processing)Overlap Add, Overlap Save(digital signal processing)
Overlap Add, Overlap Save(digital signal processing)Gourab Ghosh
 
105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1Savvas Dimopoulos
 
Digital electronics logic families
Digital electronics logic familiesDigital electronics logic families
Digital electronics logic familiesBLESSINAR0
 
J - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSJ - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSKrishma Parekh
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation finalAnkur Gupta
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentationDigital Marketing Evangelist
 
Traffic light controller
Traffic light controllerTraffic light controller
Traffic light controllernimmi_abes
 
8051 interfacing
8051 interfacing8051 interfacing
8051 interfacingKanchanPatil34
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller systemVinny Chweety
 
Pic 18 microcontroller
Pic 18 microcontrollerPic 18 microcontroller
Pic 18 microcontrollerAshish Ranjan
 
Flipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsFlipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsstudent
 

What's hot (20)

B.Tech VLSI projects list
B.Tech VLSI projects listB.Tech VLSI projects list
B.Tech VLSI projects list
 
Finite state machine based vending machine IEEE Paper
Finite state machine based vending machine IEEE PaperFinite state machine based vending machine IEEE Paper
Finite state machine based vending machine IEEE Paper
 
Chebyshev filter
Chebyshev filterChebyshev filter
Chebyshev filter
 
Overlap Add, Overlap Save(digital signal processing)
Overlap Add, Overlap Save(digital signal processing)Overlap Add, Overlap Save(digital signal processing)
Overlap Add, Overlap Save(digital signal processing)
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1
 
Digital electronics logic families
Digital electronics logic familiesDigital electronics logic families
Digital electronics logic families
 
J - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSJ - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPS
 
Shifters
ShiftersShifters
Shifters
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation final
 
PLC LADDER DIAGRAM
PLC LADDER DIAGRAMPLC LADDER DIAGRAM
PLC LADDER DIAGRAM
 
Chap 5
Chap 5Chap 5
Chap 5
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Traffic light controller
Traffic light controllerTraffic light controller
Traffic light controller
 
8051 interfacing
8051 interfacing8051 interfacing
8051 interfacing
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller system
 
Pic 18 microcontroller
Pic 18 microcontrollerPic 18 microcontroller
Pic 18 microcontroller
 
Flipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflopsFlipflops and Excitation tables of flipflops
Flipflops and Excitation tables of flipflops
 
Traffic light controller
Traffic light controllerTraffic light controller
Traffic light controller
 

Viewers also liked

Elevator1
Elevator1Elevator1
Elevator1cognosvlsi
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Kyle Zheng
 
PLC BASED ELEVATOR PPT(GROUP-1)
PLC BASED ELEVATOR PPT(GROUP-1)PLC BASED ELEVATOR PPT(GROUP-1)
PLC BASED ELEVATOR PPT(GROUP-1)Krupal Bhoi
 
Elevator Controller
Elevator Controller Elevator Controller
Elevator Controller Mayank Jain
 
Elevator
Elevator Elevator
Elevator Eng Eng
 
HDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodeHDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodePratik Patil
 
Elevators and escalators
Elevators and escalatorsElevators and escalators
Elevators and escalatorsKuNal MeHta
 
Lift Spare Parts Manufacturers
Lift Spare Parts ManufacturersLift Spare Parts Manufacturers
Lift Spare Parts ManufacturersElevator Parts
 
Traffic Control Signalling
Traffic Control SignallingTraffic Control Signalling
Traffic Control Signallingnagalaxmis
 
6 smart traffic control
6 smart traffic control6 smart traffic control
6 smart traffic controlYousaf Hameed
 
Calculator design with lcd using fpga
Calculator design with lcd using fpgaCalculator design with lcd using fpga
Calculator design with lcd using fpgaHossam Hassan
 
Traffic light using plc
Traffic light using  plcTraffic light using  plc
Traffic light using plcArcanjo Salazaku
 
Leisure Inn Hotel Experience certificate
Leisure Inn Hotel Experience certificateLeisure Inn Hotel Experience certificate
Leisure Inn Hotel Experience certificateGyan Ranjan
 
Controller Implementation in Verilog
Controller Implementation in VerilogController Implementation in Verilog
Controller Implementation in VerilogAnees Akhtar
 

Viewers also liked (20)

Elevator1
Elevator1Elevator1
Elevator1
 
Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...Design of Five storey Elevator Control System Based on Programmable Logic Con...
Design of Five storey Elevator Control System Based on Programmable Logic Con...
 
PLC BASED ELEVATOR PPT(GROUP-1)
PLC BASED ELEVATOR PPT(GROUP-1)PLC BASED ELEVATOR PPT(GROUP-1)
PLC BASED ELEVATOR PPT(GROUP-1)
 
Elevator Controller
Elevator Controller Elevator Controller
Elevator Controller
 
Elevator
Elevator Elevator
Elevator
 
Lift Design
Lift  DesignLift  Design
Lift Design
 
HDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodeHDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog Code
 
VERILOG CODE
VERILOG CODEVERILOG CODE
VERILOG CODE
 
lift ppt
lift pptlift ppt
lift ppt
 
Elevators and escalators
Elevators and escalatorsElevators and escalators
Elevators and escalators
 
Lift Spare Parts Manufacturers
Lift Spare Parts ManufacturersLift Spare Parts Manufacturers
Lift Spare Parts Manufacturers
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
FPGA workshop
FPGA workshopFPGA workshop
FPGA workshop
 
Verilog Tasks and functions
Verilog Tasks and functionsVerilog Tasks and functions
Verilog Tasks and functions
 
Traffic Control Signalling
Traffic Control SignallingTraffic Control Signalling
Traffic Control Signalling
 
6 smart traffic control
6 smart traffic control6 smart traffic control
6 smart traffic control
 
Calculator design with lcd using fpga
Calculator design with lcd using fpgaCalculator design with lcd using fpga
Calculator design with lcd using fpga
 
Traffic light using plc
Traffic light using  plcTraffic light using  plc
Traffic light using plc
 
Leisure Inn Hotel Experience certificate
Leisure Inn Hotel Experience certificateLeisure Inn Hotel Experience certificate
Leisure Inn Hotel Experience certificate
 
Controller Implementation in Verilog
Controller Implementation in VerilogController Implementation in Verilog
Controller Implementation in Verilog
 

Similar to Four elevator controller

Computer Organization
Computer OrganizationComputer Organization
Computer OrganizationAnish Goel
 
Slot shifting1
Slot shifting1Slot shifting1
Slot shifting1Gokul Vasan
 
Advanced cfg bypass on adobe flash player 18 defcon russia 23
Advanced cfg bypass on adobe flash player 18 defcon russia 23Advanced cfg bypass on adobe flash player 18 defcon russia 23
Advanced cfg bypass on adobe flash player 18 defcon russia 23DefconRussia
 
20IT204-COA- Lecture 17.pptx
20IT204-COA- Lecture 17.pptx20IT204-COA- Lecture 17.pptx
20IT204-COA- Lecture 17.pptxPerumalPitchandi
 
Sliding mode control-based system for the two-link robot arm
Sliding mode control-based system for the two-link robot armSliding mode control-based system for the two-link robot arm
Sliding mode control-based system for the two-link robot armIJECEIAES
 
Unity Feedback PD Controller Design for an Electronic Throttle Body
Unity Feedback PD Controller Design for an Electronic Throttle BodyUnity Feedback PD Controller Design for an Electronic Throttle Body
Unity Feedback PD Controller Design for an Electronic Throttle BodySteven Ernst, PE
 
Timer ppt
Timer pptTimer ppt
Timer pptChethaSp
 
Mc module5 ppt_msj
Mc module5 ppt_msjMc module5 ppt_msj
Mc module5 ppt_msjmangala jolad
 
fdocuments.in_ericsson-utran-p6-parameters.pdf
fdocuments.in_ericsson-utran-p6-parameters.pdffdocuments.in_ericsson-utran-p6-parameters.pdf
fdocuments.in_ericsson-utran-p6-parameters.pdfSaidHaman
 
UKOUG 2019 - SQL features
UKOUG 2019 - SQL featuresUKOUG 2019 - SQL features
UKOUG 2019 - SQL featuresConnor McDonald
 
Switch Control and Time Delay - Keypad
Switch Control and Time Delay - KeypadSwitch Control and Time Delay - Keypad
Switch Control and Time Delay - KeypadAriel Tonatiuh Espindola
 
Algorithm analysis.pptx
Algorithm analysis.pptxAlgorithm analysis.pptx
Algorithm analysis.pptxDrBashirMSaad
 
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...IRJET Journal
 
Project Presentation
Project PresentationProject Presentation
Project PresentationTabish Fawad
 
PLC: Uso de funciones de secuenciador SQO en control industrial
PLC: Uso de funciones de secuenciador SQO en control industrialPLC: Uso de funciones de secuenciador SQO en control industrial
PLC: Uso de funciones de secuenciador SQO en control industrialSANTIAGO PABLO ALBERTO
 
how to reuse code
how to reuse codehow to reuse code
how to reuse codejleed1
 
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable Factor
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable FactorResearch on a Kind of PLC Based Fuzzy-PID Controller with Adjustable Factor
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable FactorNooria Sukmaningtyas
 

Similar to Four elevator controller (20)

Computer Organization
Computer OrganizationComputer Organization
Computer Organization
 
Slot shifting1
Slot shifting1Slot shifting1
Slot shifting1
 
Advanced cfg bypass on adobe flash player 18 defcon russia 23
Advanced cfg bypass on adobe flash player 18 defcon russia 23Advanced cfg bypass on adobe flash player 18 defcon russia 23
Advanced cfg bypass on adobe flash player 18 defcon russia 23
 
20IT204-COA- Lecture 17.pptx
20IT204-COA- Lecture 17.pptx20IT204-COA- Lecture 17.pptx
20IT204-COA- Lecture 17.pptx
 
Sliding mode control-based system for the two-link robot arm
Sliding mode control-based system for the two-link robot armSliding mode control-based system for the two-link robot arm
Sliding mode control-based system for the two-link robot arm
 
Unity Feedback PD Controller Design for an Electronic Throttle Body
Unity Feedback PD Controller Design for an Electronic Throttle BodyUnity Feedback PD Controller Design for an Electronic Throttle Body
Unity Feedback PD Controller Design for an Electronic Throttle Body
 
Lecture 4
Lecture 4Lecture 4
Lecture 4
 
Timer ppt
Timer pptTimer ppt
Timer ppt
 
Mc module5 ppt_msj
Mc module5 ppt_msjMc module5 ppt_msj
Mc module5 ppt_msj
 
fdocuments.in_ericsson-utran-p6-parameters.pdf
fdocuments.in_ericsson-utran-p6-parameters.pdffdocuments.in_ericsson-utran-p6-parameters.pdf
fdocuments.in_ericsson-utran-p6-parameters.pdf
 
UKOUG 2019 - SQL features
UKOUG 2019 - SQL featuresUKOUG 2019 - SQL features
UKOUG 2019 - SQL features
 
Switch Control and Time Delay - Keypad
Switch Control and Time Delay - KeypadSwitch Control and Time Delay - Keypad
Switch Control and Time Delay - Keypad
 
Algorithm analysis.pptx
Algorithm analysis.pptxAlgorithm analysis.pptx
Algorithm analysis.pptx
 
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
Design of Adaptive Sliding Mode Control with Fuzzy Controller and PID Tuning ...
 
Project Presentation
Project PresentationProject Presentation
Project Presentation
 
PLC: Uso de funciones de secuenciador SQO en control industrial
PLC: Uso de funciones de secuenciador SQO en control industrialPLC: Uso de funciones de secuenciador SQO en control industrial
PLC: Uso de funciones de secuenciador SQO en control industrial
 
how to reuse code
how to reuse codehow to reuse code
how to reuse code
 
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable Factor
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable FactorResearch on a Kind of PLC Based Fuzzy-PID Controller with Adjustable Factor
Research on a Kind of PLC Based Fuzzy-PID Controller with Adjustable Factor
 
Crash course in verilog
Crash course in verilogCrash course in verilog
Crash course in verilog
 
ARMInst.ppt
ARMInst.pptARMInst.ppt
ARMInst.ppt
 

Recently uploaded

ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17Celine George
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting DataJhengPantaleon
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docxPoojaSen20
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...M56BOOKSTORE PRODUCT/SERVICE
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application ) Sakshi Ghasle
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformChameera Dedduwage
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfSumit Tiwari
 

Recently uploaded (20)

9953330565 Low Rate Call Girls In Rohini Delhi NCR
9953330565 Low Rate Call Girls In Rohini  Delhi NCR9953330565 Low Rate Call Girls In Rohini  Delhi NCR
9953330565 Low Rate Call Girls In Rohini Delhi NCR
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17How to Configure Email Server in Odoo 17
How to Configure Email Server in Odoo 17
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docx
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
KSHARA STURA .pptx---KSHARA KARMA THERAPY (CAUSTIC THERAPY)————IMP.OF KSHARA ...
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Hybridoma Technology ( Production , Purification , and Application )
Hybridoma Technology  ( Production , Purification , and Application  ) Hybridoma Technology  ( Production , Purification , and Application  )
Hybridoma Technology ( Production , Purification , and Application )
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy Reform
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
call girls in Kamla Market (DELHI) 🔝 >༒9953330565🔝 genuine Escort Service 🔝✔️✔️
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
 

Four elevator controller

  • 1. Dept. Of Electronics and Communication Engineering, VIT UNIVERSITY, CHENNAI CAMPUS “Four Elevator Controller” A Report submitted for PBL in VLSI System Design (ECE301) by 1. Akshay Thakur (11BEC1057) 2. Bhagwat Singh (11BEC1070) 3. Lovish Jindal (11BEC1129) Under the guidance and supervision of Dr. Vigneswaran T Dept. of SENSE VIT UNIVERSITY, CHENNAI CAMPUS
  • 2. Aim: To design and implementation of embedded based elevator control system on FPGA board using Xilinx tool. Abstract: The elevator control system is one of the important aspects in electronics control module in automotive application. In this investigation elevator control system is designed with different control strategies. First the elevator control system is implemented for multi-storage building. This implementation is based on FPGA based Fuzzy logic controller for intelligent control of elevator group system. This proposed approach is based on algorithm which is developed to reduce the amount of computation required by focusing only on relevant rules and ignoring those which are irrelevant to the condition for better performance of the group of elevator system. Here we have designed controller for four elevators group. Algorithm: Elevator controller controls the entire operation of the Dual elevator system. The proximity sensors located to sense the positions of the elevators, provide the current state storing it in register. The obstruction sensors provide the status of obstruction. The elevator controller also reads the requests through the flip-flops. If the door of any elevator is open, the timer signals from the elevator keep the controller informed of being busy. The control state machine receives all these signals. It is programmed to the algorithm by which it should control the system. The CSM, then generates control signals for the next position and movement of the elevators. Elevators on receiving the signal address to the request, as been asked by the controller.
  • 3. Block diagrams: Simple elevator control system inputs and outputs: From the point of view of an individual user:
  • 4. From the point of view as a system being acted on by many users:
  • 5. General Control Loop block diagram: Assumptions: Initially sensors and timers input are taken by default (no timer or sensor obstacles) Elevators Priority: Every lift will give higher priority to inside inputs than outside inputs If input from 1st floor outside than E1 will complete the task. If input from 2nd floor outside than E2 will complete the task. If input from 3rd floor outside than E3 will complete the task. If input from 4th floor outside than E4 will complete the task. Default State: E1 –first floor E2 –second floor E3 –third floor E4 –fourth floor
  • 6. Working: Every elevator has inside buttons for 1 to 4 floors and outside call button at every floor. The sensor signal will sense the obstruction and timer, so that the signal from controller will be send to door operator and hence the door operator will control the elevator according to the request. Block diagram for controller working Verilog Code: `timescale 1ps/1ps // time scale of 1ns and resolution 0.01 ns module fe_demo( input clk, reset, req_1F, req_2F, req_3F, req_4F, req_E1_1, req_E1_2, req_E1_3, req_E1_4, req_E2_1, req_E2_2, req_E2_3, req_E2_4, req_E3_1, req_E3_2, req_E3_3, req_E3_4, req_E4_1, req_E4_2, req_E4_3, req_E4_4,
  • 7. output reg [1:0]E1_pos, E2_pos, E3_pos, E4_pos, output reg [7:0]o1, o2, o3, o4); reg [1:0]E1_next_pos, E2_next_pos, E3_next_pos, E4_next_pos; reg dg; parameter firstfloor= 2'b00, secondfloor = 2'b01, thirdfloor = 2'b10, fourthfloor = 2'b11; always@(posedge clk, reset) begin if (reset) begin E1_pos <= firstfloor; E2_pos <= secondfloor; E3_pos <= thirdfloor; E4_pos <= fourthfloor; end else begin E1_pos <= E1_next_pos; E2_pos <= E2_next_pos; E3_pos <= E3_next_pos; E4_pos <= E4_next_pos;
  • 8. end if (E1_pos==firstfloor) begin o1=8'b10011111; dg=4'b1110; end if (E1_pos==secondfloor) begin o1=8'b00100101; dg=4'b1110; end if (E1_pos==thirdfloor) begin o1=8'b00001101; dg=4'b1110; end if (E1_pos==fourthfloor) begin o1=8'b10011001; dg=4'b1110; end if (E2_pos==firstfloor) begin o2=8'b10011111;
  • 9. dg=4'b1101; end if (E2_pos==secondfloor) begin o2=8'b00100101; dg=4'b1101; end if (E2_pos==thirdfloor) begin o2=8'b00001101; dg=4'b1101; end if (E2_pos==fourthfloor) begin o2=8'b10011001; dg=4'b1101; end if (E3_pos==firstfloor) begin o3=8'b10011111; dg=4'b1011; end if (E3_pos==secondfloor) begin
  • 10. o3=8'b00100101; dg=4'b1011; end if (E3_pos==thirdfloor) begin o3=8'b00001101; dg=4'b1011; end if (E3_pos==fourthfloor) begin o3=8'b10011001; dg=4'b1011; end if (E4_pos==firstfloor) begin o4=8'b10011111; dg=4'b0111; end if (E4_pos==secondfloor) begin o4=8'b00100101; dg=4'b0111; end if (E4_pos==thirdfloor)
  • 11. begin o4=8'b00001101; dg=4'b0111; end if (E4_pos==fourthfloor) begin o4=8'b10011001; dg=4'b0111; end end always@(posedge clk, reset, E1_pos, E2_pos, E3_pos, E4_pos, req_1F, req_2F, req_3F, req_4F, req_E1_1, req_E1_2, req_E1_3, req_E1_4, req_E2_1, req_E2_2, req_E2_3, req_E2_4, req_E3_1, req_E3_2, req_E3_3, req_E3_4, req_E4_1, req_E4_2, req_E4_3, req_E4_4) begin begin if (req_1F==1) begin E1_next_pos<=firstfloor; end if (req_2F==1) begin E2_next_pos<=secondfloor; end if (req_3F==1)
  • 12. begin E3_next_pos<=thirdfloor; end if (req_4F==1) begin E4_next_pos<=fourthfloor; end end begin if (req_E1_1==1) E1_next_pos<=firstfloor; if (req_E1_2==1) E1_next_pos<=secondfloor; if (req_E1_3==1) E1_next_pos<=thirdfloor; if (req_E1_4==1) E1_next_pos<=fourthfloor; end begin if (req_E2_1==1) E2_next_pos<=firstfloor; if (req_E2_2==1) E2_next_pos<=secondfloor; if (req_E2_3==1)
  • 13. E2_next_pos<=thirdfloor; if (req_E2_4==1) E2_next_pos<=fourthfloor; end begin if (req_E3_1==1) E3_next_pos<=firstfloor; if (req_E3_2==1) E3_next_pos<=secondfloor; if (req_E3_3==1) E3_next_pos<=thirdfloor; if (req_E3_4==1) E3_next_pos<=fourthfloor; end begin if (req_E4_1==1) E4_next_pos<=firstfloor; if (req_E4_2==1) E4_next_pos<=secondfloor; if (req_E4_3==1) E4_next_pos<=thirdfloor; if (req_E4_4==1) E4_next_pos<=fourthfloor; end
  • 14. end endmodule verilog testbench code: `timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 12:13:31 11/11/2013 // Design Name: fe_demo // Module Name: C:/Users/BHAGWAT/Documents/Xilinx/final_review/test.v // Project Name: final_review // Target Device: // Tool versions: // Description: // // Verilog Test Fixture created by ISE for module: fe_demo // // Dependencies: // // Revision: // Revision 0.01 - File Created
  • 15. // Additional Comments: // //////////////////////////////////////////////////////////////////////////////// module test; // Inputs reg clk; reg reset; reg req_1F; reg req_2F; reg req_3F; reg req_4F; reg req_E1_1; reg req_E1_2; reg req_E1_3; reg req_E1_4; reg req_E2_1; reg req_E2_2; reg req_E2_3; reg req_E2_4; reg req_E3_1;
  • 16. reg req_E3_2; reg req_E3_3; reg req_E3_4; reg req_E4_1; reg req_E4_2; reg req_E4_3; reg req_E4_4; // Outputs wire [1:0] E1_pos; wire [1:0] E2_pos; wire [1:0] E3_pos; wire [1:0] E4_pos; wire [7:0] o1; wire [7:0] o2; wire [7:0] o3; wire [7:0] o4; // Instantiate the Unit Under Test (UUT) fe_demo uut ( .clk(clk), .reset(reset),
  • 18. .E3_pos(E3_pos), .E4_pos(E4_pos), .o1(o1), .o2(o2), .o3(o3), .o4(o4) ); initial begin // Initialize Inputs clk = 0; end always clk=#10 ~clk; initial begin reset = 1; req_1F = 0; req_2F = 0; req_3F = 0; req_4F = 0; req_E1_1 = 0;
  • 19. req_E1_2 = 0; req_E1_3 = 0; req_E1_4 = 0; req_E2_1 = 0; req_E2_2 = 0; req_E2_3 = 0; req_E2_4 = 0; req_E3_1 = 0; req_E3_2 = 0; req_E3_3 = 0; req_E3_4 = 0; req_E4_1 = 0; req_E4_2 = 0; req_E4_3 = 0; req_E4_4 = 0; // Wait 100 ns for global reset to finish #100; reset = 0; req_1F = 0; req_2F = 0; req_3F = 0;
  • 20. req_4F = 0; req_E1_1 = 0; req_E1_2 = 1; req_E1_3 = 0; req_E1_4 = 0; req_E2_1 = 0; req_E2_2 = 0; req_E2_3 = 0; req_E2_4 = 1; req_E3_1 = 1; req_E3_2 = 0; req_E3_3 = 0; req_E3_4 = 0; req_E4_1 = 0; req_E4_2 = 0; req_E4_3 = 1; req_E4_4 = 0; // Wait 100 ns for global reset to finish #100; reset = 0; req_1F = 1;
  • 21. req_2F = 1; req_3F = 1; req_4F = 1; req_E1_1 = 0; req_E1_2 = 0; req_E1_3 = 0; req_E1_4 = 0; req_E2_1 = 0; req_E2_2 = 0; req_E2_3 = 0; req_E2_4 = 0; req_E3_1 = 0; req_E3_2 = 0; req_E3_3 = 0; req_E3_4 = 0; req_E4_1 = 0; req_E4_2 = 0; req_E4_3 = 0; req_E4_4 = 0; // Add stimulus here
  • 22. end endmodule Simulation result: For t=1ns to 100 ns Inputs: Reset=1 Output: E1-first floor E2-second floor E3-third floor E4-fourth floor For t=101ns to 200ns Inputs: Inside 2nd floor request from E1 elevator Inside 4th floor request from E2 elevator Inside 1st floor request from E3 elevator Inside 3rd floor request from E4 elevator Outputs: E1-second floor E2-fourth floor E3-first floor
  • 23. E4-third floor For t=201ns to 300ns Inputs: Request from 1st floor outside Request from 2nd floor outside Request from 3rd floor outside Request from 4th floor outside Outputs: E1-first floor E2-second floor E3-third floor E4-fourth floor
  • 24. Conclusion: Four elevator controller is implemented successfully on FPGA board using Xilinx tool. It is also simulated on Xilinx tool.