SlideShare a Scribd company logo
1 of 29
CHI (Coherent Hub Interface)
Introduction
• Coherent Hub Interface (CHI) is an evolution of the AXI Coherency Extensions (ACE) protocol. It is
part of the Advanced Microcontroller Bus Architecture (AMBA).
• AMBA is a freely available, globally adopted, open standard for the connection and management
of functional blocks in a system-on-chip (SoC).
• It facilitates right-first-time development of multi-processor designs, with large numbers of
controllers and peripherals.
AMBA ROADMAP
ACE vs CHI
• ACE was designed as an extension to AXI to handle coherency, but it is not without shortfalls. It
served designs with smaller coherent clusters well, but as SoCs and system becomes more
complex and the number of processors increased, the need for better coherency and efficiency
increased.
• Arm released a packet-based layered coherency architecture, without dependencies on AXI or
ACE. CHI was built with performance improvement and scalability in mind of applications and
systems such as mobile, networking, automotive and data center.
Components of Interconnect
• Standalone processors
• Processor clusters
• Graphic processors
• Memory controllers
• I/O bridges
• PCIe subsystems
• Interconnects
RING TOPOLOGY
• In the ring, each component connects directly to two other components, forming a ring where all
the components can communicate with each other.
• The disadvantage of this topology is that latency increases linearly with the number of
components in the ring. This is because a transaction must traverse the ring until it reaches its
destination.
• The ring topology is best suited for medium-sized systems.
In this diagram, the circles represent requester and subordinate components in the network. The squares represent intermediary components to route transactions between requester and subordinate.
MESH TOPOLOGY
• Compared to the ring, the mesh contains more paths for a transaction to reach its destination and
therefore reduces the travel time of a transaction.
• This provides higher bandwidth in the system, at the cost of more area.
• The mesh topology is best suited for large scale systems.
CROSSBAR TOPOLOGY
• This topology allows every node to connect to every possible node.
• The drawback of this topology is the cost of connecting all the components. This is because the
number of wires needed in the system can grow significantly with each additional component.
• The crossbar topology is best suited for small-sized systems.
Architecture Layers
Functionality is grouped into the following layers:
• The Protocol layer is the topmost layer in the CHI architecture. The function of
the Protocol layer is to :
• Generate and process requests and responses at the protocol nodes.
• Define the transaction flows for each request type.
• Manage the protocol level flow control.
• The function of the Network layer is to :
• Packetize the protocol message.
• Determine the source and target Node IDs required to route the packet over the
interconnect to the required destination and add to the packet
• The function of the Link layer is to :
• Provide flow control between network devices.
• Manage link channels to provide deadlock-free switching across the network.
Example CHI system
Component Naming
RN :
Request Node generates protocol transactions, including reads and writes, to the interconnect.
RN-F :
Fully Coherent Request Node
• Includes a hardware-coherent cache.
• Permitted to do all transactions as defined by the protocol.
• Supports all Snoop transactions.
RN-D :
IO Coherent Request Node with DVM support
• Does not include a hardware-coherent cache
• Receives DVM transactions.
• Generates a Subset of transactions defined by the protocol.
RN-I :
IO Coherent Request Node
• Does not include a hardware-coherent cache
• Does not receives DVM transactions.
• Generates a Subset of transactions defined by the protocol.
• Does not require Snoop functionality.
Component Naming(Contd..)
HN :
Home Node within the interconnect receives protocol transactions from Request Nodes.
HN-F :
Fully Coherent Home Node
• Expected to receive all request types, except DVMOp.
• Includes a PoC (Point of Coherence) and PoS (Point Of Serialization).
• Might include a directory or snoop filter to reduce redundant snoops
HN-I :
Non Coherent Home Node
• Processes a limited subset of transactions defined by the protocol.
• Does not includes PoC and is not capable of processing a snoopable request.
• Expected to be the PoS that manages order between IO requests targeting the IO subsystem.
MN :
Miscellaneous Node receives a DVM transaction from a Request Node, completes the required action and returns a
response.
Component Naming(Contd..)
SN :
Subordinate Node receives a request from Home Node, completes required action, and returns a response.
SN-F :
A Subordinate Node type used for Normal memory. It can process Non-snoopable
Read, Write, and Atomic requests, including exclusive variants of them, and
Cache Maintenance Operation (CMO) requests.
SN-I :
A Subordinate Node type used for Peripherals or Normal memory. It can process
Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation
(CMO) requests.
Read Data Source
• The data for a read request can be either from the
Cache within Home or Subordinate or from Peer
RN-F
• CHI uses three features to reduce number of hops
to complete a transaction.
DMT Direct Memory Transfer
DCT Direct Cache Transfer
DWT Direct Write-data Transfer
ARM® CoreLink™ CCN-508 Cache Coherent Network
ARM® CoreLink™ CCN-508 Cache Coherent Network with complete coherent system.
CCN details
• XP : CrossPoint is switch or router logic module
• RN-F : Processors, clusters, GPUs or other RNs with a coherent cache.
• SN-F : CHI memory controllers
• HN-F : Have SLC and SF, have combined PoC and PoS which is responsible for
ordering of all memory requests sent to this HN-F.
• SLC : System Level Cache(L3 here), allocation policy is “exclusive until shared”.
• SF : Snoop Filter for tracking cache line states in RN-Fs.
In a CHI interconnect, you assign a single HN to each byte of the system address
space. That HN is responsible for handling all memory transactions that are
associated with that address.
RN -> HN -> SN
Arm® CoreLink™ CI-700 Coherent Interconnect
4 x 2 mesh configuration
Arm® CoreLink™ CI-700 Coherent Interconnect
4 x 2 mesh configuration with CAL
System Address Map
• Every component in the system is assigned a Unique Node ID. CHI uses the System Address Map
(SAM) to convert physical addresses to a target Node ID.
• To be able to determine the target Node ID of outgoing requests, each RN and HN must have a
SAM.
System Address Map(Contd...)
1.The transaction with address 0x8000_0000 passes through the RN SAM in Node 0.
2. The RN SAM determines the destination as Node 5.
3. The transaction is routed to the HN with Node 5.
4. The HN receives the transaction.
5. The HN passes the address through its HN SAM and determines the destination as Node 2.
6. The transaction gets routed to the SN with Node 2.
NODE IDs
• For the HN-I connected to XP (1,0), the node ID reads as 36.
• The equivalent binary value is 01 00 1 00.
• In other words, the X position value = 01, the Y position value = 00, the device port value = 1, and the device ID value = 00.
CHANNELS
Key Features
• Scalable architecture
• Independent layered approach, comprising of Protocol, Network, and Link layer, with distinct functionalities.
• Packet-based communication.
• All transactions handled by an interconnect-based Home Node that co-ordinates required snoops, cache, and
memory accesses.
• The CHI coherence protocol supports:
• Coherency granule of 64-byte cache line.
• Snoop filter and directory based systems for snoop scaling.
• Both MESI and MOESI cache models with forwarding of data from any cache state.
• Additional partial and empty cache line states.
• Supports Virtual Memory Management through DVM operations.
• Supports Cache Stashing and atomic operations
• Request Retry to manage protocol resources.
• Support for end-to-end QoS.
• RAS features.
• Support for MTE(Memory Tagging Extension).
THANK YOU

More Related Content

What's hot

What's hot (20)

Axi
AxiAxi
Axi
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Apb
ApbApb
Apb
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI Express
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
PCIe
PCIePCIe
PCIe
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
PCI express
PCI expressPCI express
PCI express
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 

Similar to AMBA 5 COHERENT HUB INTERFACE.pptx

09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptxKushalSrivastava23
 
Byte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptxByte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptxRockyBhai46825
 
Point to point interconnect
Point to point interconnectPoint to point interconnect
Point to point interconnectKinza Razzaq
 
Web technologies: recap on TCP-IP
Web technologies: recap on TCP-IPWeb technologies: recap on TCP-IP
Web technologies: recap on TCP-IPPiero Fraternali
 
Unit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - ITUnit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - ITDeepraj Bhujel
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point ProtocolPhan Vuong
 
LinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data CenterLinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data CenterShawn Zandi
 
Scalable multiprocessors
Scalable multiprocessorsScalable multiprocessors
Scalable multiprocessorsKomal Divate
 
Computer Network
Computer NetworkComputer Network
Computer NetworkRubaya Mim
 
Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)Geethu Jose
 
Unit 2 ppt-idc
Unit 2 ppt-idcUnit 2 ppt-idc
Unit 2 ppt-idchiya123jes
 
Sept 2017 internetworking
Sept 2017   internetworkingSept 2017   internetworking
Sept 2017 internetworkingshahin raj
 
Novell Netware Protocol suite
Novell Netware Protocol suiteNovell Netware Protocol suite
Novell Netware Protocol suiteOmar Isaid
 
Microcontroller.pptx
Microcontroller.pptxMicrocontroller.pptx
Microcontroller.pptxSaba651353
 

Similar to AMBA 5 COHERENT HUB INTERFACE.pptx (20)

09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx
 
infiniband.pdf
infiniband.pdfinfiniband.pdf
infiniband.pdf
 
Byte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptxByte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptx
 
Point to point interconnect
Point to point interconnectPoint to point interconnect
Point to point interconnect
 
Web technologies: recap on TCP-IP
Web technologies: recap on TCP-IPWeb technologies: recap on TCP-IP
Web technologies: recap on TCP-IP
 
Bluetooth
BluetoothBluetooth
Bluetooth
 
Unit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - ITUnit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - IT
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point Protocol
 
LinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data CenterLinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data Center
 
Scalable multiprocessors
Scalable multiprocessorsScalable multiprocessors
Scalable multiprocessors
 
Computer Network
Computer NetworkComputer Network
Computer Network
 
Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)
 
Unit 2 ppt-idc
Unit 2 ppt-idcUnit 2 ppt-idc
Unit 2 ppt-idc
 
Sept 2017 internetworking
Sept 2017   internetworkingSept 2017   internetworking
Sept 2017 internetworking
 
Novell Netware Protocol suite
Novell Netware Protocol suiteNovell Netware Protocol suite
Novell Netware Protocol suite
 
Atm intro
Atm introAtm intro
Atm intro
 
Computer Networks basics
Computer Networks basicsComputer Networks basics
Computer Networks basics
 
From Device to Data Center to Insights
From Device to Data Center to InsightsFrom Device to Data Center to Insights
From Device to Data Center to Insights
 
unit2-210710110327.pptx
unit2-210710110327.pptxunit2-210710110327.pptx
unit2-210710110327.pptx
 
Microcontroller.pptx
Microcontroller.pptxMicrocontroller.pptx
Microcontroller.pptx
 

Recently uploaded

Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 

Recently uploaded (20)

Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 

AMBA 5 COHERENT HUB INTERFACE.pptx

  • 1. CHI (Coherent Hub Interface)
  • 2. Introduction • Coherent Hub Interface (CHI) is an evolution of the AXI Coherency Extensions (ACE) protocol. It is part of the Advanced Microcontroller Bus Architecture (AMBA). • AMBA is a freely available, globally adopted, open standard for the connection and management of functional blocks in a system-on-chip (SoC). • It facilitates right-first-time development of multi-processor designs, with large numbers of controllers and peripherals.
  • 4. ACE vs CHI • ACE was designed as an extension to AXI to handle coherency, but it is not without shortfalls. It served designs with smaller coherent clusters well, but as SoCs and system becomes more complex and the number of processors increased, the need for better coherency and efficiency increased. • Arm released a packet-based layered coherency architecture, without dependencies on AXI or ACE. CHI was built with performance improvement and scalability in mind of applications and systems such as mobile, networking, automotive and data center.
  • 5. Components of Interconnect • Standalone processors • Processor clusters • Graphic processors • Memory controllers • I/O bridges • PCIe subsystems • Interconnects
  • 6. RING TOPOLOGY • In the ring, each component connects directly to two other components, forming a ring where all the components can communicate with each other. • The disadvantage of this topology is that latency increases linearly with the number of components in the ring. This is because a transaction must traverse the ring until it reaches its destination. • The ring topology is best suited for medium-sized systems. In this diagram, the circles represent requester and subordinate components in the network. The squares represent intermediary components to route transactions between requester and subordinate.
  • 7. MESH TOPOLOGY • Compared to the ring, the mesh contains more paths for a transaction to reach its destination and therefore reduces the travel time of a transaction. • This provides higher bandwidth in the system, at the cost of more area. • The mesh topology is best suited for large scale systems.
  • 8. CROSSBAR TOPOLOGY • This topology allows every node to connect to every possible node. • The drawback of this topology is the cost of connecting all the components. This is because the number of wires needed in the system can grow significantly with each additional component. • The crossbar topology is best suited for small-sized systems.
  • 9. Architecture Layers Functionality is grouped into the following layers: • The Protocol layer is the topmost layer in the CHI architecture. The function of the Protocol layer is to : • Generate and process requests and responses at the protocol nodes. • Define the transaction flows for each request type. • Manage the protocol level flow control. • The function of the Network layer is to : • Packetize the protocol message. • Determine the source and target Node IDs required to route the packet over the interconnect to the required destination and add to the packet • The function of the Link layer is to : • Provide flow control between network devices. • Manage link channels to provide deadlock-free switching across the network.
  • 11. Component Naming RN : Request Node generates protocol transactions, including reads and writes, to the interconnect. RN-F : Fully Coherent Request Node • Includes a hardware-coherent cache. • Permitted to do all transactions as defined by the protocol. • Supports all Snoop transactions. RN-D : IO Coherent Request Node with DVM support • Does not include a hardware-coherent cache • Receives DVM transactions. • Generates a Subset of transactions defined by the protocol. RN-I : IO Coherent Request Node • Does not include a hardware-coherent cache • Does not receives DVM transactions. • Generates a Subset of transactions defined by the protocol. • Does not require Snoop functionality.
  • 12. Component Naming(Contd..) HN : Home Node within the interconnect receives protocol transactions from Request Nodes. HN-F : Fully Coherent Home Node • Expected to receive all request types, except DVMOp. • Includes a PoC (Point of Coherence) and PoS (Point Of Serialization). • Might include a directory or snoop filter to reduce redundant snoops HN-I : Non Coherent Home Node • Processes a limited subset of transactions defined by the protocol. • Does not includes PoC and is not capable of processing a snoopable request. • Expected to be the PoS that manages order between IO requests targeting the IO subsystem. MN : Miscellaneous Node receives a DVM transaction from a Request Node, completes the required action and returns a response.
  • 13. Component Naming(Contd..) SN : Subordinate Node receives a request from Home Node, completes required action, and returns a response. SN-F : A Subordinate Node type used for Normal memory. It can process Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation (CMO) requests. SN-I : A Subordinate Node type used for Peripherals or Normal memory. It can process Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation (CMO) requests.
  • 14. Read Data Source • The data for a read request can be either from the Cache within Home or Subordinate or from Peer RN-F • CHI uses three features to reduce number of hops to complete a transaction. DMT Direct Memory Transfer DCT Direct Cache Transfer DWT Direct Write-data Transfer
  • 15. ARM® CoreLink™ CCN-508 Cache Coherent Network
  • 16. ARM® CoreLink™ CCN-508 Cache Coherent Network with complete coherent system.
  • 17.
  • 18. CCN details • XP : CrossPoint is switch or router logic module • RN-F : Processors, clusters, GPUs or other RNs with a coherent cache. • SN-F : CHI memory controllers • HN-F : Have SLC and SF, have combined PoC and PoS which is responsible for ordering of all memory requests sent to this HN-F. • SLC : System Level Cache(L3 here), allocation policy is “exclusive until shared”. • SF : Snoop Filter for tracking cache line states in RN-Fs. In a CHI interconnect, you assign a single HN to each byte of the system address space. That HN is responsible for handling all memory transactions that are associated with that address.
  • 19. RN -> HN -> SN
  • 20. Arm® CoreLink™ CI-700 Coherent Interconnect 4 x 2 mesh configuration
  • 21. Arm® CoreLink™ CI-700 Coherent Interconnect 4 x 2 mesh configuration with CAL
  • 22.
  • 23.
  • 24. System Address Map • Every component in the system is assigned a Unique Node ID. CHI uses the System Address Map (SAM) to convert physical addresses to a target Node ID. • To be able to determine the target Node ID of outgoing requests, each RN and HN must have a SAM.
  • 25. System Address Map(Contd...) 1.The transaction with address 0x8000_0000 passes through the RN SAM in Node 0. 2. The RN SAM determines the destination as Node 5. 3. The transaction is routed to the HN with Node 5. 4. The HN receives the transaction. 5. The HN passes the address through its HN SAM and determines the destination as Node 2. 6. The transaction gets routed to the SN with Node 2.
  • 26. NODE IDs • For the HN-I connected to XP (1,0), the node ID reads as 36. • The equivalent binary value is 01 00 1 00. • In other words, the X position value = 01, the Y position value = 00, the device port value = 1, and the device ID value = 00.
  • 28. Key Features • Scalable architecture • Independent layered approach, comprising of Protocol, Network, and Link layer, with distinct functionalities. • Packet-based communication. • All transactions handled by an interconnect-based Home Node that co-ordinates required snoops, cache, and memory accesses. • The CHI coherence protocol supports: • Coherency granule of 64-byte cache line. • Snoop filter and directory based systems for snoop scaling. • Both MESI and MOESI cache models with forwarding of data from any cache state. • Additional partial and empty cache line states. • Supports Virtual Memory Management through DVM operations. • Supports Cache Stashing and atomic operations • Request Retry to manage protocol resources. • Support for end-to-end QoS. • RAS features. • Support for MTE(Memory Tagging Extension).