SlideShare a Scribd company logo
1 of 22
VHDL
Presented by :- Aaqib Ashraf Parrey
Basit
VHDL
• VHDL is Hardware Language
• Describes the behavior of an electronic circuit or system
• Full form VHDL is VHSIC HDL
or
Very High Speed Integrated Circuit Hardware Description
Language
• VHDL is only for Digital IC and not for Analog IC
• VHDL uses gate level design abstraction
History of VHDL
• VHDL was developed by Department of Defense – US
1980
• 1980-87= Department of Defense
• 1987 DOD permitted for commercial purpose and got
IEEE Std (1076)
• 1993 Revised Edition (not synthesizable in this period)
• 1996 Revised Further( It is synthesized language and
simulation tools were added)
VHDL vs. ‘C’ language
• VHDL is Concurrent Language while as ‘C’ is
sequential language.
• VHDL is synthesizable while as ‘C’ is not.
VHDL Capabilities
• It is case insensitive Language
• It is vendor independent (Xilinx, Altera, Modelsim.etc)
• It supports synthesis (VHDL to Netlist)
• It supports simulation i.e. in the absence of real system we
simulate the function by taking a model of the function
• It supports 9 value logic system
Program structure in VHDL
• Library
--- list of libraries
• Entity
---I/O pins of the circuit
• Architecture
--- VHDL code
Library Declarations
• Two lines of code are needed
– name of library and use clause
• Syntax
• Three different libraries
• ieee
• standard
• work
LIBRARY library_name;
USE library_name.package_name.package_part;
Library
• Library ieee;
Use ieee.std_logic_1164.all;
• Use ieee library
• Use Std_logic_1164 package of library
• Use above package completely
Entity
 Specification of all input-output pins (ports)
 interface to outside world
 Syntax
 Signal mode: IN, OUT, INOUT, BUFFER
 IN- unidirectional (only read the signal)
 OUT- unidirectional (only write to the signal)
ENTITY entity_name IS
PORT (
Port_name: signal_mode signal_type;
Port_name: signal_mode signal_type;
……….)
END entity_name;
Inputs and Outputs
Chip
A
B
C
D
E
Entity
• Entity is the building block of VHDL program. It is used
to declare input and output ports.
• Example :-
entity ABCD is
port ( a : in std_logic;
b : in std_logic;
c : out std_logic);
end ABCD;
Architecture
 Description of how the circuit should behave
 Syntax
 Two parts:
Declarative part (optional): signals and
constants are declared here
Code part
ARCHITECTURE architecture_name OF entity_name IS
[declaration]
BEGIN
(code)
END architecture_name;
ChipA
B
C
D
EX
Y
Architecture
• It is the last and the third part of VHDL program. In this
part we are writing logic of program.
• Example
architecture myDEF of ABCD is
begin
output <= input1 and input2;
end myDEF;
Types of modeling style in VHDL
• Data flow modeling (Design Equations)
• Behavioral modeling (Explains Behavior)
• Structural modeling (Connection of sub modules)
• Hybrid modeling
Signal
• All internal variables
Signal X,Y : std_logic;
Chip
Signal
A
B
C
D
EX
Y
Final code
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY TEST IS
PORT (A,B,C,D : IN STD_LOGIC;
E : OUT STD_LOGIC);
END TEST;
ARCHITECTURE BEHAVIOR OF TEST IS
SIGNAL X,Y : STD_LOGIC;
BEGIN
X <= AAND B;
Y <= C AND D;
E <= X OR Y;
END BEHAVIOR;
Multiplexer
Code for multiplexer
Simulation results
D- flip flop
d q
DFF
Clk
rst
R C D Q
1 1/0 1/0 0
0 0 1 0
0 1 1 1
SIMULATION RESULT OF D-FLIP FLOP
THANK YOU

More Related Content

What's hot

What's hot (20)

Hdl
HdlHdl
Hdl
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
Vhdl
VhdlVhdl
Vhdl
 
Verilog
VerilogVerilog
Verilog
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
HDL (hardware description language) presentation
HDL (hardware description language) presentationHDL (hardware description language) presentation
HDL (hardware description language) presentation
 
Vhdl 1
Vhdl 1Vhdl 1
Vhdl 1
 
Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Verilog
VerilogVerilog
Verilog
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Vhdl
VhdlVhdl
Vhdl
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
 

Similar to Vhdl

UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
SEN150VAIBHAVWAKHARE
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
loyad20119
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
 

Similar to Vhdl (20)

VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
Verilogspk1
Verilogspk1Verilogspk1
Verilogspk1
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
02 direct3 d_pipeline
02 direct3 d_pipeline02 direct3 d_pipeline
02 direct3 d_pipeline
 
Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02Design And Simulation of Electronic Circuits Lec_02
Design And Simulation of Electronic Circuits Lec_02
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
 
Verilog
VerilogVerilog
Verilog
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101
 
Vlsi & embedded systems
Vlsi & embedded systemsVlsi & embedded systems
Vlsi & embedded systems
 
Vlsi(2)
Vlsi(2)Vlsi(2)
Vlsi(2)
 
Vhdl
VhdlVhdl
Vhdl
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptx
 

Recently uploaded

Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Kandungan 087776558899
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
dharasingh5698
 

Recently uploaded (20)

chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
Hazard Identification (HAZID) vs. Hazard and Operability (HAZOP): A Comparati...
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 

Vhdl

  • 1. VHDL Presented by :- Aaqib Ashraf Parrey Basit
  • 2. VHDL • VHDL is Hardware Language • Describes the behavior of an electronic circuit or system • Full form VHDL is VHSIC HDL or Very High Speed Integrated Circuit Hardware Description Language • VHDL is only for Digital IC and not for Analog IC • VHDL uses gate level design abstraction
  • 3. History of VHDL • VHDL was developed by Department of Defense – US 1980 • 1980-87= Department of Defense • 1987 DOD permitted for commercial purpose and got IEEE Std (1076) • 1993 Revised Edition (not synthesizable in this period) • 1996 Revised Further( It is synthesized language and simulation tools were added)
  • 4. VHDL vs. ‘C’ language • VHDL is Concurrent Language while as ‘C’ is sequential language. • VHDL is synthesizable while as ‘C’ is not.
  • 5. VHDL Capabilities • It is case insensitive Language • It is vendor independent (Xilinx, Altera, Modelsim.etc) • It supports synthesis (VHDL to Netlist) • It supports simulation i.e. in the absence of real system we simulate the function by taking a model of the function • It supports 9 value logic system
  • 6. Program structure in VHDL • Library --- list of libraries • Entity ---I/O pins of the circuit • Architecture --- VHDL code
  • 7. Library Declarations • Two lines of code are needed – name of library and use clause • Syntax • Three different libraries • ieee • standard • work LIBRARY library_name; USE library_name.package_name.package_part;
  • 8. Library • Library ieee; Use ieee.std_logic_1164.all; • Use ieee library • Use Std_logic_1164 package of library • Use above package completely
  • 9. Entity  Specification of all input-output pins (ports)  interface to outside world  Syntax  Signal mode: IN, OUT, INOUT, BUFFER  IN- unidirectional (only read the signal)  OUT- unidirectional (only write to the signal) ENTITY entity_name IS PORT ( Port_name: signal_mode signal_type; Port_name: signal_mode signal_type; ……….) END entity_name; Inputs and Outputs Chip A B C D E
  • 10. Entity • Entity is the building block of VHDL program. It is used to declare input and output ports. • Example :- entity ABCD is port ( a : in std_logic; b : in std_logic; c : out std_logic); end ABCD;
  • 11. Architecture  Description of how the circuit should behave  Syntax  Two parts: Declarative part (optional): signals and constants are declared here Code part ARCHITECTURE architecture_name OF entity_name IS [declaration] BEGIN (code) END architecture_name; ChipA B C D EX Y
  • 12. Architecture • It is the last and the third part of VHDL program. In this part we are writing logic of program. • Example architecture myDEF of ABCD is begin output <= input1 and input2; end myDEF;
  • 13. Types of modeling style in VHDL • Data flow modeling (Design Equations) • Behavioral modeling (Explains Behavior) • Structural modeling (Connection of sub modules) • Hybrid modeling
  • 14. Signal • All internal variables Signal X,Y : std_logic; Chip Signal A B C D EX Y
  • 15. Final code LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY TEST IS PORT (A,B,C,D : IN STD_LOGIC; E : OUT STD_LOGIC); END TEST; ARCHITECTURE BEHAVIOR OF TEST IS SIGNAL X,Y : STD_LOGIC; BEGIN X <= AAND B; Y <= C AND D; E <= X OR Y; END BEHAVIOR;
  • 19. D- flip flop d q DFF Clk rst R C D Q 1 1/0 1/0 0 0 0 1 0 0 1 1 1
  • 20.
  • 21. SIMULATION RESULT OF D-FLIP FLOP