SlideShare a Scribd company logo
1 of 23
Content of the Course
• Overview of VHDL
• Entity and architecture description
• Signal and Process assignments
• Design of Combinational digital circuits
• Example digital circuits Programming
12/29/2023 VAC VEC
Overview of VHDL
• VHSIC Hardware Description Language
• VHSIC:
Very High Speed Integrated Circuits
• VHDL
USA Department of Defense
IEEE Std 1076-1993
• Verilog
IEEE Std 1364-1995
• Super Verilog
• SystemC
• SpecC
12/29/2023 VAC VEC
HW & SW
The Y-Diagram Design Paradigm
12/29/2023 VAC VEC
Design is
structured around
a hierarchy of
representations
HDLs can
describe
distinct aspects
of a design at
multiple levels
of abstraction
4
Design Abstraction Levels
5
Role of HDLs
• System description and documentation
• System simulation
• System synthesis
V Very High Speed Integrated Circuit
H Hardware
D Description
L Language
6
Role of HDLs
• Design Specification
– unambiguous definition of components, functionality
and interfaces
• Design Simulation
– verify system/subsystem performance and functional
correctness prior to design implementation
• Design Synthesis
– automated generation of a hardware design
7
HDL Benefits
• Technology independence
– portability
– Reuse
• Interoperability between multiple levels of abstractions
• Cost reduction
• Higher Level of Abstraction (hiding details)
– The design task become simpler
– The design is less error prone
– Productivity is increased
8
Levels of Abstraction
Behavioral
RTL
Structural
High
Intermediate
Low
9
HDL style vs. application
• High Level Modeling (Behavioral style)
• Design Entry (Structural & RTL styles)
• Simulation (Behavioral style)
– validation by mean of a test bench
generate
stimuli
observe
responses
instantiate
design to
test
dut.vhd
TESTBENCH
dut_tb.vhd
10
Modeling Digital Systems
 What aspects do we need to consider to describe a
digital system ?
 Interface
 Function
 Performance (delay/area/costs/…)
abstraction Levels
11
Modeling Digital Systems
• What are the attributes necessary to describe a
digital systems ?
– events, propagation delays, concurrency
– waveforms and timing
– signal values
– shared signals
12
Modeling Digital Systems
• Hardware description languages must provide
constructs for describing the attributes of a specific
design, and …
• Simulators use such descriptions for “mimicking”
the physical system behavior
• Synthesis compilers use such descriptions for
synthesizing manufacturable hardware that
conform to a given specification
13
HDLs vs. Software Languages
Concurrent (parallel) Statements
vs.
Sequential Statements
14
VHDL Design Organization
• Entity
the “symbol” (input/output ports)
• Architecture
one of the several possible implementation of the
design
• Configuration
binding between the symbol and one of the many
possible implementation.
Can be used to express hierarchy.
15
VHDL Design Organization
• Libraries
logical units that are mapped to physical directories. The units of a library are called packages.
• Packages
repositories for type definitions, procedures, and functions
• Libraries and packages can be system defined or user defined
package
package
package body
specification of the
code blocks
declaration
package contents
16
Design Units
• Primary design units (not dependent on other design units)
– Entity
– Configuration
– Package Declaration
• Secondary design units
– Package body
– Architecture
• Design units are arranged in files
• Now you know the layout of a VHDL program!
17
Design Units
• Primary design units (not dependent on other design units)
– Entity
– Configuration
– Package Declaration
• Secondary design units
– Package body
– Architecture
• Design units are arranged in files
• Now you know the layout of a VHDL program!
12/29/2023 VAC VEC
-- This is my first VHDL program
library IEEE;
use IEEE.std_logic_1164.all;
entity my_exor is
port (ip1 : in std_logic;
ip2 : in std_logic;
op1 : out std_logic
);
end my_exor;
entity declaration - describes the
boundaries of the object.
It defines the names of the ports, their
mode and their type.
my EXOR gate
12/29/2023 VAC VEC
library IEEE;
use IEEE.std_logic_1164.all;
entity my_exor is
port (ip1 : in std_logic;
ip2 : in std_logic;
op1 : out std_logic
);
end my_exor;
Library : Collection of design
elements, type declarations, sub
programs, etc.
my EXOR gate
12/29/2023 VAC VEC
library IEEE;
use IEEE.std_logic_1164.all;
entity my_exor is
port (ip1 : in std_logic;
ip2 : in std_logic;
op1 : out std_logic
);
end my_exor;
architecture my_exor_beh of my_exor is
begin
op1 <= (ip1 and (not ip2)) or
(ip2 and (not ip1));
end my_exor_beh;
Library : Collection of design
elements, type declarations,sub
programs, etc.
entity - defines the
interface.
Mode of the port :
It can be
in, out or inout
std_logic is the type of the port
It is defined in the IEEE library.
Any node of type std_logic can take
9 different values.
‘0’ , ’1’ , ’H’ , ’L’ , ’Z’ , ’U’ , ’X’ , ’W’ , ’-’
The architecture describes the
behaviour (function),
interconnections and the
relationship between different
inputs and outputs of the entity.
my EXOR gate
12/29/2023 VAC VEC
architecture my_exor_beh of my_exor is
signal temp1 : std_logic;
signal temp2 : std_logic;
begin
......
end my_exor_beh;
Internal connections are made using signals.
Signals are defined inside the architecture.
12/29/2023 VAC VEC
library IEEE;
use IEEE.std_logic_1164.all;
entity my_exor is
port (ip1 : in std_logic;
ip2 : in std_logic;
op1 : out std_logic
);
end my_exor;
architecture exor_w_sig of my_exor is
signal temp1, temp2 : std_logic;
begin
temp1 <= ip1 and (not ip2);
temp2 <= ip2 and (not ip1);
op1 <= temp1 or temp2;
end exor_w_sig;
configuration my_exor_C of my_exor is
for exor_w_sig
end for;
end my_exor_C;
my EXOR with internal signals
12/29/2023 VAC VEC
• SUMMARY
• Introduction to:
• VHDL flow
• Comments
• Library declaration
• Entity declaration (ports, modes, std_logic type)
• Architecture
• Signal declarations
• Signal assignments
• Component declaration and instantiation
• Configuration statement

More Related Content

Similar to hardware description language power point presentation

Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Abhilash Nair
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_finalAkash Chowdhury
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDLEutectics
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptDr.YNM
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11chitlesh
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptOsamaOsama46
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)HoneyKumar34
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 

Similar to hardware description language power point presentation (20)

Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Fpga & VHDL
Fpga & VHDLFpga & VHDL
Fpga & VHDL
 
Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Lecture1
Lecture1Lecture1
Lecture1
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
vhdl
vhdlvhdl
vhdl
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).ppt
 
L1_vhdl_Intro.ppt
L1_vhdl_Intro.pptL1_vhdl_Intro.ppt
L1_vhdl_Intro.ppt
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
FPGA workshop
FPGA workshopFPGA workshop
FPGA workshop
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vlsi(2)
Vlsi(2)Vlsi(2)
Vlsi(2)
 

Recently uploaded

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 

Recently uploaded (20)

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 

hardware description language power point presentation

  • 1. Content of the Course • Overview of VHDL • Entity and architecture description • Signal and Process assignments • Design of Combinational digital circuits • Example digital circuits Programming 12/29/2023 VAC VEC
  • 2. Overview of VHDL • VHSIC Hardware Description Language • VHSIC: Very High Speed Integrated Circuits • VHDL USA Department of Defense IEEE Std 1076-1993 • Verilog IEEE Std 1364-1995 • Super Verilog • SystemC • SpecC 12/29/2023 VAC VEC HW & SW
  • 3. The Y-Diagram Design Paradigm 12/29/2023 VAC VEC Design is structured around a hierarchy of representations HDLs can describe distinct aspects of a design at multiple levels of abstraction
  • 5. 5 Role of HDLs • System description and documentation • System simulation • System synthesis V Very High Speed Integrated Circuit H Hardware D Description L Language
  • 6. 6 Role of HDLs • Design Specification – unambiguous definition of components, functionality and interfaces • Design Simulation – verify system/subsystem performance and functional correctness prior to design implementation • Design Synthesis – automated generation of a hardware design
  • 7. 7 HDL Benefits • Technology independence – portability – Reuse • Interoperability between multiple levels of abstractions • Cost reduction • Higher Level of Abstraction (hiding details) – The design task become simpler – The design is less error prone – Productivity is increased
  • 9. 9 HDL style vs. application • High Level Modeling (Behavioral style) • Design Entry (Structural & RTL styles) • Simulation (Behavioral style) – validation by mean of a test bench generate stimuli observe responses instantiate design to test dut.vhd TESTBENCH dut_tb.vhd
  • 10. 10 Modeling Digital Systems  What aspects do we need to consider to describe a digital system ?  Interface  Function  Performance (delay/area/costs/…) abstraction Levels
  • 11. 11 Modeling Digital Systems • What are the attributes necessary to describe a digital systems ? – events, propagation delays, concurrency – waveforms and timing – signal values – shared signals
  • 12. 12 Modeling Digital Systems • Hardware description languages must provide constructs for describing the attributes of a specific design, and … • Simulators use such descriptions for “mimicking” the physical system behavior • Synthesis compilers use such descriptions for synthesizing manufacturable hardware that conform to a given specification
  • 13. 13 HDLs vs. Software Languages Concurrent (parallel) Statements vs. Sequential Statements
  • 14. 14 VHDL Design Organization • Entity the “symbol” (input/output ports) • Architecture one of the several possible implementation of the design • Configuration binding between the symbol and one of the many possible implementation. Can be used to express hierarchy.
  • 15. 15 VHDL Design Organization • Libraries logical units that are mapped to physical directories. The units of a library are called packages. • Packages repositories for type definitions, procedures, and functions • Libraries and packages can be system defined or user defined package package package body specification of the code blocks declaration package contents
  • 16. 16 Design Units • Primary design units (not dependent on other design units) – Entity – Configuration – Package Declaration • Secondary design units – Package body – Architecture • Design units are arranged in files • Now you know the layout of a VHDL program!
  • 17. 17 Design Units • Primary design units (not dependent on other design units) – Entity – Configuration – Package Declaration • Secondary design units – Package body – Architecture • Design units are arranged in files • Now you know the layout of a VHDL program!
  • 18. 12/29/2023 VAC VEC -- This is my first VHDL program library IEEE; use IEEE.std_logic_1164.all; entity my_exor is port (ip1 : in std_logic; ip2 : in std_logic; op1 : out std_logic ); end my_exor; entity declaration - describes the boundaries of the object. It defines the names of the ports, their mode and their type. my EXOR gate
  • 19. 12/29/2023 VAC VEC library IEEE; use IEEE.std_logic_1164.all; entity my_exor is port (ip1 : in std_logic; ip2 : in std_logic; op1 : out std_logic ); end my_exor; Library : Collection of design elements, type declarations, sub programs, etc. my EXOR gate
  • 20. 12/29/2023 VAC VEC library IEEE; use IEEE.std_logic_1164.all; entity my_exor is port (ip1 : in std_logic; ip2 : in std_logic; op1 : out std_logic ); end my_exor; architecture my_exor_beh of my_exor is begin op1 <= (ip1 and (not ip2)) or (ip2 and (not ip1)); end my_exor_beh; Library : Collection of design elements, type declarations,sub programs, etc. entity - defines the interface. Mode of the port : It can be in, out or inout std_logic is the type of the port It is defined in the IEEE library. Any node of type std_logic can take 9 different values. ‘0’ , ’1’ , ’H’ , ’L’ , ’Z’ , ’U’ , ’X’ , ’W’ , ’-’ The architecture describes the behaviour (function), interconnections and the relationship between different inputs and outputs of the entity. my EXOR gate
  • 21. 12/29/2023 VAC VEC architecture my_exor_beh of my_exor is signal temp1 : std_logic; signal temp2 : std_logic; begin ...... end my_exor_beh; Internal connections are made using signals. Signals are defined inside the architecture.
  • 22. 12/29/2023 VAC VEC library IEEE; use IEEE.std_logic_1164.all; entity my_exor is port (ip1 : in std_logic; ip2 : in std_logic; op1 : out std_logic ); end my_exor; architecture exor_w_sig of my_exor is signal temp1, temp2 : std_logic; begin temp1 <= ip1 and (not ip2); temp2 <= ip2 and (not ip1); op1 <= temp1 or temp2; end exor_w_sig; configuration my_exor_C of my_exor is for exor_w_sig end for; end my_exor_C; my EXOR with internal signals
  • 23. 12/29/2023 VAC VEC • SUMMARY • Introduction to: • VHDL flow • Comments • Library declaration • Entity declaration (ports, modes, std_logic type) • Architecture • Signal declarations • Signal assignments • Component declaration and instantiation • Configuration statement