SlideShare a Scribd company logo
1 of 8
Download to read offline
VHDL Introduction
Eng.M.Atef.Elhusainy
Design and Simulation of
Electronic circuits
Lecture 02
Elc-sim-lec02
1. Introduction To VHDL
• VHDL : Very High-Speed Integrated Circuit Hardware Description Language
• VHDL is primarily used to describe hardware
• VHDL is a concurrent language.
• VHDL instructions are all executed at the same time (concurrently), regardless of
the size of your implementation
– higher-level computer languages are used to describe algorithms (sequential execution)
– VHDL is used to describe hardware (parallel execution)
• VHDL will provide you with the tools to model digital circuits in a much more
intelligent manner.
• A Field Programmable Gate Array (FPGA) is probably the most common device that
you can use for your VHDL implementations
Elc-sim-lec02
Eng.M.Atef.Elhusainy
2. VHDL Invariants
There are several features of VHDL that you should know before moving
forward
 VHDL is not case sensitive This means that the two statements shown
have the exact same meaning Keep in mind that the shown example
of VHDL case sensitivity is not good VHDL coding practices.
 VHDL is not sensitive to white space (spaces and tabs) The two
statements shown have the exact same meaning.
 Comments in VHDL begin with the symbol “--”
Elc-sim-lec02
Eng.M.Atef.Elhusainy
Similar to other algorithmic programming languages,
 every VHDL statement is terminated with a semicolon ;
• Every if statement has a corresponding then component
• Each if statement is terminated with an end if;
• If you need to use an else if construct, the VHDL version is elsif
• Each case statement is terminated with an end case;
• Each loop statement has a corresponding end loop;
• An identifier refers to the name given to various items in VHDL
• Examples of identifiers in VHDL include variable names, signal names and port
names
• Identifiers should be self-describing
• Identifiers can be as long as you want
• Identifiers can only contain a combination of letters (A-Z and a-z), digits (0-9) and
the underscore character (“_”).
• Identifiers must start with an alphabetic character.
• Identifiers must not end with an underscore and must never have two consecutive
underscores.
Elc-sim-lec02
Eng.M.Atef.Elhusainy
Invalid identifiervalid identifier
• There is a list of words that have been assigned special meaning by the VHDL language
Elc-sim-lec02
Eng.M.Atef.Elhusainy
3. VHDL Design Units
• help you to minimize the time of coding
• The VHDL entity construct provides a method to abstract the
functionality of a circuit description to a higher level.
• It provides a simple wrapper for the lower-level circuitry.
• This wrapper effectively describes how the black box interfaces with
the outside world
• Each port name is unique
• The VHDL compiler allows several port names to be included on a
single line. Port names are separated by commas
Elc-sim-lec02
Eng.M.Atef.Elhusainy
• The architecture describes what the circuit actually does
• There can be any number of equivalent architectures describing a
single entity
• An architecture can be written by means of three modeling
 data-flow model
 behavioral model
 structural model
 hybrid models
Elc-sim-lec02
Eng.M.Atef.Elhusainy
• The signal type is the software representation of a wire
• The variable type, like in C or Java, is used to store local information
• The constant is like a variable object type, but it cannot be changed
• when you want to assign a new value to an object of type signal you use the
operator “<=”
• assign a new value to an object of type variable you will use the operator“ := ”
Elc-sim-lec02
Eng.M.Atef.Elhusainy

More Related Content

What's hot (20)

Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
Verilog
VerilogVerilog
Verilog
 
vhdl
vhdlvhdl
vhdl
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Session1
Session1Session1
Session1
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Vhdl
VhdlVhdl
Vhdl
 
Vhdl
VhdlVhdl
Vhdl
 
Intro africahackpart2
Intro africahackpart2Intro africahackpart2
Intro africahackpart2
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Intro to blockchain
Intro to blockchainIntro to blockchain
Intro to blockchain
 
Vhdl basic unit-2
Vhdl basic unit-2Vhdl basic unit-2
Vhdl basic unit-2
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
VHDL
VHDLVHDL
VHDL
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 

Similar to Design And Simulation of Electronic Circuits Lec_02

Điện tử số thầy Phạm Ngọc Nam.
Điện tử số thầy Phạm Ngọc Nam.Điện tử số thầy Phạm Ngọc Nam.
Điện tử số thầy Phạm Ngọc Nam.chessgenius
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)HoneyKumar34
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptDr.YNM
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxMalligaarjunanN
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentationdhananjeyanrece
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & DesignAakash Mishra
 
VHDL_Lecture_new1 [Autosaved].ppt
VHDL_Lecture_new1 [Autosaved].pptVHDL_Lecture_new1 [Autosaved].ppt
VHDL_Lecture_new1 [Autosaved].pptNAVEENM849290
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptxjpradha86
 
UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaSEN150VAIBHAVWAKHARE
 
Vlsi & embedded systems
Vlsi & embedded systemsVlsi & embedded systems
Vlsi & embedded systemsDeepak Yadav
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-IntroductionDr. Shivananda Koteshwar
 

Similar to Design And Simulation of Electronic Circuits Lec_02 (20)

Điện tử số thầy Phạm Ngọc Nam.
Điện tử số thầy Phạm Ngọc Nam.Điện tử số thầy Phạm Ngọc Nam.
Điện tử số thầy Phạm Ngọc Nam.
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
Lecture1
Lecture1Lecture1
Lecture1
 
VHDL course
VHDL courseVHDL course
VHDL course
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Vlsi(2)
Vlsi(2)Vlsi(2)
Vlsi(2)
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & Design
 
VHDL_Lecture_new1 [Autosaved].ppt
VHDL_Lecture_new1 [Autosaved].pptVHDL_Lecture_new1 [Autosaved].ppt
VHDL_Lecture_new1 [Autosaved].ppt
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
VHDL MODELING.pptx
VHDL MODELING.pptxVHDL MODELING.pptx
VHDL MODELING.pptx
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptx
 
Verilogspk1
Verilogspk1Verilogspk1
Verilogspk1
 
UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
 
Vlsi & embedded systems
Vlsi & embedded systemsVlsi & embedded systems
Vlsi & embedded systems
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
 
DDUV.pdf
DDUV.pdfDDUV.pdf
DDUV.pdf
 

Recently uploaded

Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.Kamal Acharya
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARKOUSTAV SARKAR
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network DevicesChandrakantDivate1
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Servicemeghakumariji156
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapRishantSharmaFr
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxMuhammadAsimMuhammad6
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadhamedmustafa094
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilVinayVitekari
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...drmkjayanthikannan
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsvanyagupta248
 
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEGEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEselvakumar948
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Call Girls Mumbai
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 

Recently uploaded (20)

FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech Civil
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLEGEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
GEAR TRAIN- BASIC CONCEPTS AND WORKING PRINCIPLE
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 

Design And Simulation of Electronic Circuits Lec_02

  • 1. VHDL Introduction Eng.M.Atef.Elhusainy Design and Simulation of Electronic circuits Lecture 02 Elc-sim-lec02
  • 2. 1. Introduction To VHDL • VHDL : Very High-Speed Integrated Circuit Hardware Description Language • VHDL is primarily used to describe hardware • VHDL is a concurrent language. • VHDL instructions are all executed at the same time (concurrently), regardless of the size of your implementation – higher-level computer languages are used to describe algorithms (sequential execution) – VHDL is used to describe hardware (parallel execution) • VHDL will provide you with the tools to model digital circuits in a much more intelligent manner. • A Field Programmable Gate Array (FPGA) is probably the most common device that you can use for your VHDL implementations Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 3. 2. VHDL Invariants There are several features of VHDL that you should know before moving forward  VHDL is not case sensitive This means that the two statements shown have the exact same meaning Keep in mind that the shown example of VHDL case sensitivity is not good VHDL coding practices.  VHDL is not sensitive to white space (spaces and tabs) The two statements shown have the exact same meaning.  Comments in VHDL begin with the symbol “--” Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 4. Similar to other algorithmic programming languages,  every VHDL statement is terminated with a semicolon ; • Every if statement has a corresponding then component • Each if statement is terminated with an end if; • If you need to use an else if construct, the VHDL version is elsif • Each case statement is terminated with an end case; • Each loop statement has a corresponding end loop; • An identifier refers to the name given to various items in VHDL • Examples of identifiers in VHDL include variable names, signal names and port names • Identifiers should be self-describing • Identifiers can be as long as you want • Identifiers can only contain a combination of letters (A-Z and a-z), digits (0-9) and the underscore character (“_”). • Identifiers must start with an alphabetic character. • Identifiers must not end with an underscore and must never have two consecutive underscores. Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 5. Invalid identifiervalid identifier • There is a list of words that have been assigned special meaning by the VHDL language Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 6. 3. VHDL Design Units • help you to minimize the time of coding • The VHDL entity construct provides a method to abstract the functionality of a circuit description to a higher level. • It provides a simple wrapper for the lower-level circuitry. • This wrapper effectively describes how the black box interfaces with the outside world • Each port name is unique • The VHDL compiler allows several port names to be included on a single line. Port names are separated by commas Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 7. • The architecture describes what the circuit actually does • There can be any number of equivalent architectures describing a single entity • An architecture can be written by means of three modeling  data-flow model  behavioral model  structural model  hybrid models Elc-sim-lec02 Eng.M.Atef.Elhusainy
  • 8. • The signal type is the software representation of a wire • The variable type, like in C or Java, is used to store local information • The constant is like a variable object type, but it cannot be changed • when you want to assign a new value to an object of type signal you use the operator “<=” • assign a new value to an object of type variable you will use the operator“ := ” Elc-sim-lec02 Eng.M.Atef.Elhusainy