SlideShare a Scribd company logo
1 of 6
Download to read offline
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 483
LOW POWER SRAM CELL WITH IMPROVED RESPONSE
Anant Anand Singh1
, A. Choubey2
, Raj Kumar Maddheshiya3
1
M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,
Jamshedpur, India
2
Professor, Electronics and Communication Engineering Department, National Institute of Technology, Jamshedpur,
India
3
M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,
Jamshedpur, India
Abstract
In SRAM memory cells power dissipation through standby leakage and dynamic loss is a major problem especially in low power
fabrication with modern technology scaling and for high temperature operations. This paper is based on low power operation and
delay of SRAM cell. Paper presents a novel technique to reduce dynamic power loss during switching activity. The modified
SRAM cells with proposed technique are compared with their basic SRAM cells on CADENCE VIRTUOSO on 90nm technology
scale. The average power of SRAM cells decreases and the write delay is also slightly improves compared to their parent
architecture at the mentioned scale.
Keywords: Dynamic power loss, leakage current, Power consumption, Standby current, Write delay.
--------------------------------------------------------------------***----------------------------------------------------------------------
1. INTRODUCTION
On chip memory circuits have become very popular system
in many VLSI circuits, specially system on chip (SOC), and
range of single chip memory has reached terabyte. But with
the increase of size and interconnections between the cells in
the circuits, power consumption and delay also increases
which restricts the size of memory cells and its packaging
[1]. On low power VLSI lots of research has been done to
reduce power consumption but generally degrade response
time. In this paper we have introduced new technique, which
can be applied to all SRAM architecture and reduces power
consumption and improve write delay.
The power consumption of the system on chip having
SRAMs increases largely with technology scaling because at
low scale gate leakage current, sub-threshold current [2][3],
tunneling [6] plays a significant role in the SRAM
operation. The modified SRAM cells with proposed
technique shows better performance with reduced power
consumption against conventional SRAM cells. All the
comparison is done on CADENCE VIRTUOSO at 90nm
technology scale.
2. BASIC SRAM ARCHITECTURE
The basic SRAM cell design used bi-stable latching
circuitry to store each bit. The two bi-stable states are 0 and
1, which are stored in cross coupled inverters. Both inverters
have opposite values. The basic SRAM cell structure and
the two stable states of the inverters are shown in fig-1(a)
and 1(b) respectively. 6T SRAM cell is considers as the
basic cell architecture, other kinds of SRAM architectures
used 4, 8, 9, 10T or even more transistors to store single bit.
Fig-1(a): Basic SRAM structure.
Fig-1(b): The two stable states of cross coupled inverters in
SRAM cell.
3. 6T SRAM CELL
The architecture of 6T SRAM basic cell is considered and
shown in fig-2. The 6T SRAM cell is made by using six
MOSFETS (two PMOS and four NMOS). Four MOSFETS
(P1, P2 N1 and N2) are used in cross coupled inverters and
rest two MOSFETS are used as pass transistors.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 484
blb
wl
bl
N3
P1 P2
N4V2
N1 N2
B
A
V1
Fig-2: Basic 6T SRAM architecture.
1.1 Write Operation
Data to be written into the cell is applied to the bit lines (bl
and blb). The access transistors (N3 and N4) are enabled by
applying word line signal WL equal to‟1‟ to the gates. When
proper voltages are applied at bit lines and word line is
enabled the node will get the value from the bit line. It is
very essential that for easy write operation the W/L ratio of
the pull up device to that of access transistor should be small
[1],[5].
1.2 Read Operation
During read operation The Bit lines of the cell are pre-
charged. The access transistors are enabled by applying
word line signal WL equal to „1‟ to the gates of them. This
results in the current flow from the bit line to low storage
node of the cell and therefore a voltage drop is created on
this bit line which is sensed and amplified by the sense
amplifier to read the contents of the cell. For the easy read
operation RSNM of at least 25% of VDD is generally
considered to have excellent read stability [4] and the W/L
ratio of the pull down N transistor to that of access transistor
should be large enough. Which mean the standard 6T cell is
sized to maintain pull-up (PU) devices <pass-gate (PG)
devices<pull-down (PD) [1],[5].
4. POWER DISSIPATION IN SRAM
Power is necessary for the proper functioning of an active
memory latch: if the cell is to be overwritten during a write
cycle, the capacitive loads at nodes A and B each have to
either be charged or discharged. However, there are wasteful
sources of dynamic power consumption that result from
short circuits that exist when the memory cell is switching.
There are three different short circuits VDD-to-Zero, One-
to-GND, and Short-VDD-GND, displayed in Fig-3 using
line, dotted line and hashed line respectively. Other forms of
wasteful power dissipation occur when the SRAM is
inactive. These static power losses include sub-threshold
conduction, junction leakage, and gate tunneling. Leakage
current and its consequences will not be analyzed in this
thesis. Instead, dynamic power and delay are the main focal
points.
blb
wl
bl
Fig-3: Dynamic power dissipation due to short circuit.
5. OTHER IMPORTANT SRAM
ARCHITECTURE
6T SRAM is one the most popular and widely used SRAM
architectures, there are several other important architecture
which are very important in the memory world. Two of
them are 8T and 10T SRAM architecture. 8T and 10T
SRAM are shown in fig-4 and fig-5 respectively.
blb
wl
bl
N3
P1 P2
N4V2
N1 N2
B
A
V1
Fig-4: Basic 8T SRAM architecture.
blb
wl
bl
N5
N1
P1 P2
N6
N2
N3 N4
N7 N8
Fig-5: Basic 10T SRAM architecture.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 485
6. PROPOSED TECHNIQUE TO REDUCE
POWER CONSUMPTION AND DELAY
The proposed technique is presented in fig-6. In this novel
technique two pass transistors are inserted at the two end of
the SRAM cell. The idea is to break any short circuitry in
the cell. In this technique a PMOS is inserted between VDD
and the cell keeping its gate low and a NMOS is inserted
between cell and the ground keeping its gate high.
Fig-6: Proposed technique to reduce power consumption.
7. PROPOSED TECHNIQUE APPLIED ON 6T,
8T AND 10T ARCHITECTURE
The architecture of basic 6T, 8T and 10T SRAM are
modified using the proposed technique. In the modified
architecture the threshold voltages, W/L ratios of all the
NMOS and PMOS transistors and the power supply were
same as of the parent architectures and two pass transistors
are inserted at the two end of the SRAM cell as per the
proposed technique. The architectures of modified 6T, 8T
and 10T cells are shown in fig-7, fig-8, and in fig-9
respectively.
blb
wl
bl
N3
N2N1
P1 P2
N4
Fig-7: Proposed technique applied on 6T SRAM.
N1 N2
blb
wl
bl
N3
P1 P2
N4V2
B
A
V1
N5 N6
Fig-8: Proposed technique applied on 8T SRAM.
blb
wl
bl
N5
N1
P1 P2
N6
N2
N3 N4
N7 N8
Fig-9: 10T SRAM cell with proposed technique
8. SIMULATION RESULT
The proposed and conventional 6T, 8T and 10T SRAM cells
are simulated on CADENCE VIRTUOSO and all the
measurement are done for 50ns time scale. Fig-10 shows the
simulation result for conventional basic 6T SRAM. In the
simulation results the horizontal axis represents the timing
in nano-seconds and the vertical axis represents the voltage
level in volts. Improvements have been seen in write delay
and power dissipation, were quite significant.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 486
Fig-10: Simulation result for parent 6T SRAM cell.
Fig11(a) and Fig-11(b) shows the simulation results of 6T
SRAM for parent and its modified version respectively.
Fig-11(a)
Fig-11(b)
Fig-12(a) and fig-12(b) shows the average power of 8T
SRAM for parent and its modified version respectively.
Fig-12(a)
Fig-12(b)
Fig-13(a) and fig-13(b) shows the average power of 10T
SRAM for parent and its modified version respectively.
Fig-13(a)
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 487
Fig-13(b)
9. PERFORMANCE ANALYSIS
The results obtained from the simulation has been analyzed
and it is found that power consumption and write delay of
the modified SRAM architectures is better than its parent
SRAM architecture. The comparison between the parent and
the modified architectures are shown in table-1.
Table -1: Performance parameter of basic SRAM
architecture and its modified version (as per the proposed
technique)
S.No SRAM
Arch.
Write
Delay (in
ps)
Power
consumption
(in µW)
1 6T 37.67 9.89
2 Modified
6T
31.47 8.19
3 8T 31.17 8.69
4 Modified
8T
28.60 6.83
5 10T 21.88 16.72
6 Modified
10T
13.98 15.51
10. CONCLUSIONS
This paper proposes a novel technique for reducing power
consumption and write delay than conventional SRAM
cells. The proposed novel technique is then applied to three
different SRAM architectures. The comparisons of
simulated results for all cases are shown in table-1. In 6T
modified architecture power consumption and write delay
are improved by 12% and 16% respectively and these in 8T
modified architecture are improved by 7% and 8%
respectively and in 10T modified architecture improved by
18% and 36% respectively.
REFERENCES
[1] F. Boeuf, M. Sellier, A. Farcy, and T. Skotnicki, “An
evaluation of the CMOS technology roadmap from
the point of view of variability, interconnects, and
power dissipation,”IEEE Trans. Electron Devices,
vol. 55, no. 6, pp. 1433–1440, Jun. 2008.
[2] A.Islam and M. Hasan “Leakage Characterization of
10T SRAM cell” IEEE Transactions on Electron
Devices, Vol. 59, No.3 Mar.2012.
[3] Li-jun Zhang, Chen Wu, ya –oi ma jian bin,ling-feng
“Leakage Power Reduction Techniques of 55nm
SRAM Cells” IETE Technical Review Vol 28 Issue
Mar-Apr 2011
[4] A. E. Carlson, “Device and circuit techniques for
reducing variation in nanoscale SRAM,” Ph.D.
dissertation, Univ. California Berkeley, Berkeley,
CA, May 2008.
[5] S.Lakshminarayan, J.Joung, G. Narasimhan, R.Kaper,
M. Slanina, J. Tung, M. Whately “Standby Power
Reduction and SRAM Cell optimization for 65nm
Technology” 10th
Int‟l Symposium on Quality
Electronic Design 2009 IEEE.
[6] A. Bhavnagarwala, S. Kosonocky, C. Radens, Y.
Chan, K. Stawiasz, U. Srinivasan, S. P. Kowalczyk,
and M. M. Ziegler, “A sub-600 mV, fluctuation
tolerant 65-nm CMOS SRAM array with dynamic
cell biasing,” IEEE J. Solid-State Circuits, vol. 43,
no. 4, pp. 946–955, Apr. 2008.
[7] BSIM4.3.0 Manual Copyright 2003 UC Berkeley.
BIOGRAPHIES
Anant Anand Singh received the
B.Tech. degree in electronics and
communication engineering from
Madan Mohan Malaviya Engineering
College, Gorakhpur, India (now
converted to autonomous university and
known as MMMTU ), in 201. He is
currently working toward the M.Tech degree in the field of
very large scale integrated (VLSI) design and embedded
system with the Department of Electronics Engineering,
National Institute of Technology, Jamshedpur, India.
Arvind Choubey received the
Bachelor & M.Tech degree in
Electrical Engineering from Bihar
University and IIT- BHU, Varanasi in
1984 & 1987 respectively. He received
PhD degree in signal processing and
digital communication from Ranchi
University, Jharkhand, India, in 2009. He joined as the
Assistant Professor in National Institute of Technology
(NIT), Jamshedpur, India, in 1987 & become Associate
Professor in 1997. Presently, he has been working as a
Professor in the Dept. of ECE at NIT Jamshedpur since
2009. He honored the chair of Head of the ECE department
during tenure from Dec‟ 2000 to Jan„2005 and from June
2011 to May 2013. His present research interests are Digital
Signal Processing, Digital Communication, Antenna Design,
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 488
and Soft Computing. Prof. Choubey has been affiliated as
Fellow member of Institute of Engineers (IE), India & Life
Member of Indian School of Technical Education (ISTE),
India.
Raj Kumar Maddheshiya received the
B.Tech. degree in electronics and
communication engineering from Babu
Banarsi Das National Institute of
Technology and Management, Lucknow,
in 2011. He is currently working toward
the M.Tech degree in the field of very
large scale integrated (VLSI) design and embedded system
with the Department of Electronics Engineering, National
Institute of Technology, Jamshedpur, India.

More Related Content

What's hot

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICvishnu murthy
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...IOSRJECE
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...eSAT Journals
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleeSAT Publishing House
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...IJERA Editor
 

What's hot (15)

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
Abstract The Prospect of 3D-IC
Abstract The Prospect of 3D-ICAbstract The Prospect of 3D-IC
Abstract The Prospect of 3D-IC
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
Memory base
Memory baseMemory base
Memory base
 
Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...Design High Performance Combinational Circuits Using Output Prediction Logic-...
Design High Performance Combinational Circuits Using Output Prediction Logic-...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...Two parallel single phase rectifiers by using single phase to three phase ind...
Two parallel single phase rectifiers by using single phase to three phase ind...
 
Two parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using singleTwo parallel single phase rectifiers by using single
Two parallel single phase rectifiers by using single
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Bv32457460
Bv32457460Bv32457460
Bv32457460
 
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...
Analysis of Commutation Torque Ripple Minimization for Brushless DC Motor Bas...
 

Viewers also liked

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b EditadoTexas Instruments
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016CLAROZONTECHNOLOGIES
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects TitlesE2MATRIX
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 
Conceptual design of injection mould tool for inlet
Conceptual design of injection mould tool for inletConceptual design of injection mould tool for inlet
Conceptual design of injection mould tool for inleteSAT Publishing House
 
Design of passengers vehicle body on fire accidents
Design of passengers vehicle body on fire accidentsDesign of passengers vehicle body on fire accidents
Design of passengers vehicle body on fire accidentseSAT Publishing House
 
Performance status of common effluent treatment
Performance status of common effluent treatmentPerformance status of common effluent treatment
Performance status of common effluent treatmenteSAT Publishing House
 
An extended database reverse engineering – a key for database forensic invest...
An extended database reverse engineering – a key for database forensic invest...An extended database reverse engineering – a key for database forensic invest...
An extended database reverse engineering – a key for database forensic invest...eSAT Publishing House
 
Deflection control in rcc beams by using mild steel strips (an experimental i...
Deflection control in rcc beams by using mild steel strips (an experimental i...Deflection control in rcc beams by using mild steel strips (an experimental i...
Deflection control in rcc beams by using mild steel strips (an experimental i...eSAT Publishing House
 
Fabrication and mechanical properties of stir cast al si12 cub4c composites
Fabrication and mechanical properties of stir cast al si12 cub4c compositesFabrication and mechanical properties of stir cast al si12 cub4c composites
Fabrication and mechanical properties of stir cast al si12 cub4c compositeseSAT Publishing House
 
Dorsal hand vein pattern authentication by hough peaks
Dorsal hand vein pattern authentication by hough peaksDorsal hand vein pattern authentication by hough peaks
Dorsal hand vein pattern authentication by hough peakseSAT Publishing House
 
Product quality improved using triz a case study in increasing innovative opt...
Product quality improved using triz a case study in increasing innovative opt...Product quality improved using triz a case study in increasing innovative opt...
Product quality improved using triz a case study in increasing innovative opt...eSAT Publishing House
 
Behavior of self compacting concrete using ppc and opc with different proport...
Behavior of self compacting concrete using ppc and opc with different proport...Behavior of self compacting concrete using ppc and opc with different proport...
Behavior of self compacting concrete using ppc and opc with different proport...eSAT Publishing House
 

Viewers also liked (20)

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects Titles
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
Conceptual design of injection mould tool for inlet
Conceptual design of injection mould tool for inletConceptual design of injection mould tool for inlet
Conceptual design of injection mould tool for inlet
 
Design of passengers vehicle body on fire accidents
Design of passengers vehicle body on fire accidentsDesign of passengers vehicle body on fire accidents
Design of passengers vehicle body on fire accidents
 
Performance status of common effluent treatment
Performance status of common effluent treatmentPerformance status of common effluent treatment
Performance status of common effluent treatment
 
An extended database reverse engineering – a key for database forensic invest...
An extended database reverse engineering – a key for database forensic invest...An extended database reverse engineering – a key for database forensic invest...
An extended database reverse engineering – a key for database forensic invest...
 
Deflection control in rcc beams by using mild steel strips (an experimental i...
Deflection control in rcc beams by using mild steel strips (an experimental i...Deflection control in rcc beams by using mild steel strips (an experimental i...
Deflection control in rcc beams by using mild steel strips (an experimental i...
 
Fabrication and mechanical properties of stir cast al si12 cub4c composites
Fabrication and mechanical properties of stir cast al si12 cub4c compositesFabrication and mechanical properties of stir cast al si12 cub4c composites
Fabrication and mechanical properties of stir cast al si12 cub4c composites
 
Dorsal hand vein pattern authentication by hough peaks
Dorsal hand vein pattern authentication by hough peaksDorsal hand vein pattern authentication by hough peaks
Dorsal hand vein pattern authentication by hough peaks
 
Product quality improved using triz a case study in increasing innovative opt...
Product quality improved using triz a case study in increasing innovative opt...Product quality improved using triz a case study in increasing innovative opt...
Product quality improved using triz a case study in increasing innovative opt...
 
Behavior of self compacting concrete using ppc and opc with different proport...
Behavior of self compacting concrete using ppc and opc with different proport...Behavior of self compacting concrete using ppc and opc with different proport...
Behavior of self compacting concrete using ppc and opc with different proport...
 

Similar to Low power sram cell with improved response

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioningeSAT Publishing House
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioningeSAT Journals
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded srameSAT Publishing House
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded srameSAT Journals
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...eSAT Journals
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...Editor IJMTER
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 

Similar to Low power sram cell with improved response (20)

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioning
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioning
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sram
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sram
 
Af34193199
Af34193199Af34193199
Af34193199
 
Low power sram
Low power sramLow power sram
Low power sram
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
FPGA IMPLEMENTATION OF RECOVERY BOOSTING TECHNIQUE TO ENHANCE NBTI RECOVERY I...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 

More from eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

More from eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Recently uploaded

Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIkoyaldeepu123
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 

Recently uploaded (20)

Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
EduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AIEduAI - E learning Platform integrated with AI
EduAI - E learning Platform integrated with AI
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 

Low power sram cell with improved response

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 483 LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh1 , A. Choubey2 , Raj Kumar Maddheshiya3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology, Jamshedpur, India 2 Professor, Electronics and Communication Engineering Department, National Institute of Technology, Jamshedpur, India 3 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology, Jamshedpur, India Abstract In SRAM memory cells power dissipation through standby leakage and dynamic loss is a major problem especially in low power fabrication with modern technology scaling and for high temperature operations. This paper is based on low power operation and delay of SRAM cell. Paper presents a novel technique to reduce dynamic power loss during switching activity. The modified SRAM cells with proposed technique are compared with their basic SRAM cells on CADENCE VIRTUOSO on 90nm technology scale. The average power of SRAM cells decreases and the write delay is also slightly improves compared to their parent architecture at the mentioned scale. Keywords: Dynamic power loss, leakage current, Power consumption, Standby current, Write delay. --------------------------------------------------------------------***---------------------------------------------------------------------- 1. INTRODUCTION On chip memory circuits have become very popular system in many VLSI circuits, specially system on chip (SOC), and range of single chip memory has reached terabyte. But with the increase of size and interconnections between the cells in the circuits, power consumption and delay also increases which restricts the size of memory cells and its packaging [1]. On low power VLSI lots of research has been done to reduce power consumption but generally degrade response time. In this paper we have introduced new technique, which can be applied to all SRAM architecture and reduces power consumption and improve write delay. The power consumption of the system on chip having SRAMs increases largely with technology scaling because at low scale gate leakage current, sub-threshold current [2][3], tunneling [6] plays a significant role in the SRAM operation. The modified SRAM cells with proposed technique shows better performance with reduced power consumption against conventional SRAM cells. All the comparison is done on CADENCE VIRTUOSO at 90nm technology scale. 2. BASIC SRAM ARCHITECTURE The basic SRAM cell design used bi-stable latching circuitry to store each bit. The two bi-stable states are 0 and 1, which are stored in cross coupled inverters. Both inverters have opposite values. The basic SRAM cell structure and the two stable states of the inverters are shown in fig-1(a) and 1(b) respectively. 6T SRAM cell is considers as the basic cell architecture, other kinds of SRAM architectures used 4, 8, 9, 10T or even more transistors to store single bit. Fig-1(a): Basic SRAM structure. Fig-1(b): The two stable states of cross coupled inverters in SRAM cell. 3. 6T SRAM CELL The architecture of 6T SRAM basic cell is considered and shown in fig-2. The 6T SRAM cell is made by using six MOSFETS (two PMOS and four NMOS). Four MOSFETS (P1, P2 N1 and N2) are used in cross coupled inverters and rest two MOSFETS are used as pass transistors.
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 484 blb wl bl N3 P1 P2 N4V2 N1 N2 B A V1 Fig-2: Basic 6T SRAM architecture. 1.1 Write Operation Data to be written into the cell is applied to the bit lines (bl and blb). The access transistors (N3 and N4) are enabled by applying word line signal WL equal to‟1‟ to the gates. When proper voltages are applied at bit lines and word line is enabled the node will get the value from the bit line. It is very essential that for easy write operation the W/L ratio of the pull up device to that of access transistor should be small [1],[5]. 1.2 Read Operation During read operation The Bit lines of the cell are pre- charged. The access transistors are enabled by applying word line signal WL equal to „1‟ to the gates of them. This results in the current flow from the bit line to low storage node of the cell and therefore a voltage drop is created on this bit line which is sensed and amplified by the sense amplifier to read the contents of the cell. For the easy read operation RSNM of at least 25% of VDD is generally considered to have excellent read stability [4] and the W/L ratio of the pull down N transistor to that of access transistor should be large enough. Which mean the standard 6T cell is sized to maintain pull-up (PU) devices <pass-gate (PG) devices<pull-down (PD) [1],[5]. 4. POWER DISSIPATION IN SRAM Power is necessary for the proper functioning of an active memory latch: if the cell is to be overwritten during a write cycle, the capacitive loads at nodes A and B each have to either be charged or discharged. However, there are wasteful sources of dynamic power consumption that result from short circuits that exist when the memory cell is switching. There are three different short circuits VDD-to-Zero, One- to-GND, and Short-VDD-GND, displayed in Fig-3 using line, dotted line and hashed line respectively. Other forms of wasteful power dissipation occur when the SRAM is inactive. These static power losses include sub-threshold conduction, junction leakage, and gate tunneling. Leakage current and its consequences will not be analyzed in this thesis. Instead, dynamic power and delay are the main focal points. blb wl bl Fig-3: Dynamic power dissipation due to short circuit. 5. OTHER IMPORTANT SRAM ARCHITECTURE 6T SRAM is one the most popular and widely used SRAM architectures, there are several other important architecture which are very important in the memory world. Two of them are 8T and 10T SRAM architecture. 8T and 10T SRAM are shown in fig-4 and fig-5 respectively. blb wl bl N3 P1 P2 N4V2 N1 N2 B A V1 Fig-4: Basic 8T SRAM architecture. blb wl bl N5 N1 P1 P2 N6 N2 N3 N4 N7 N8 Fig-5: Basic 10T SRAM architecture.
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 485 6. PROPOSED TECHNIQUE TO REDUCE POWER CONSUMPTION AND DELAY The proposed technique is presented in fig-6. In this novel technique two pass transistors are inserted at the two end of the SRAM cell. The idea is to break any short circuitry in the cell. In this technique a PMOS is inserted between VDD and the cell keeping its gate low and a NMOS is inserted between cell and the ground keeping its gate high. Fig-6: Proposed technique to reduce power consumption. 7. PROPOSED TECHNIQUE APPLIED ON 6T, 8T AND 10T ARCHITECTURE The architecture of basic 6T, 8T and 10T SRAM are modified using the proposed technique. In the modified architecture the threshold voltages, W/L ratios of all the NMOS and PMOS transistors and the power supply were same as of the parent architectures and two pass transistors are inserted at the two end of the SRAM cell as per the proposed technique. The architectures of modified 6T, 8T and 10T cells are shown in fig-7, fig-8, and in fig-9 respectively. blb wl bl N3 N2N1 P1 P2 N4 Fig-7: Proposed technique applied on 6T SRAM. N1 N2 blb wl bl N3 P1 P2 N4V2 B A V1 N5 N6 Fig-8: Proposed technique applied on 8T SRAM. blb wl bl N5 N1 P1 P2 N6 N2 N3 N4 N7 N8 Fig-9: 10T SRAM cell with proposed technique 8. SIMULATION RESULT The proposed and conventional 6T, 8T and 10T SRAM cells are simulated on CADENCE VIRTUOSO and all the measurement are done for 50ns time scale. Fig-10 shows the simulation result for conventional basic 6T SRAM. In the simulation results the horizontal axis represents the timing in nano-seconds and the vertical axis represents the voltage level in volts. Improvements have been seen in write delay and power dissipation, were quite significant.
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 486 Fig-10: Simulation result for parent 6T SRAM cell. Fig11(a) and Fig-11(b) shows the simulation results of 6T SRAM for parent and its modified version respectively. Fig-11(a) Fig-11(b) Fig-12(a) and fig-12(b) shows the average power of 8T SRAM for parent and its modified version respectively. Fig-12(a) Fig-12(b) Fig-13(a) and fig-13(b) shows the average power of 10T SRAM for parent and its modified version respectively. Fig-13(a)
  • 5. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 487 Fig-13(b) 9. PERFORMANCE ANALYSIS The results obtained from the simulation has been analyzed and it is found that power consumption and write delay of the modified SRAM architectures is better than its parent SRAM architecture. The comparison between the parent and the modified architectures are shown in table-1. Table -1: Performance parameter of basic SRAM architecture and its modified version (as per the proposed technique) S.No SRAM Arch. Write Delay (in ps) Power consumption (in µW) 1 6T 37.67 9.89 2 Modified 6T 31.47 8.19 3 8T 31.17 8.69 4 Modified 8T 28.60 6.83 5 10T 21.88 16.72 6 Modified 10T 13.98 15.51 10. CONCLUSIONS This paper proposes a novel technique for reducing power consumption and write delay than conventional SRAM cells. The proposed novel technique is then applied to three different SRAM architectures. The comparisons of simulated results for all cases are shown in table-1. In 6T modified architecture power consumption and write delay are improved by 12% and 16% respectively and these in 8T modified architecture are improved by 7% and 8% respectively and in 10T modified architecture improved by 18% and 36% respectively. REFERENCES [1] F. Boeuf, M. Sellier, A. Farcy, and T. Skotnicki, “An evaluation of the CMOS technology roadmap from the point of view of variability, interconnects, and power dissipation,”IEEE Trans. Electron Devices, vol. 55, no. 6, pp. 1433–1440, Jun. 2008. [2] A.Islam and M. Hasan “Leakage Characterization of 10T SRAM cell” IEEE Transactions on Electron Devices, Vol. 59, No.3 Mar.2012. [3] Li-jun Zhang, Chen Wu, ya –oi ma jian bin,ling-feng “Leakage Power Reduction Techniques of 55nm SRAM Cells” IETE Technical Review Vol 28 Issue Mar-Apr 2011 [4] A. E. Carlson, “Device and circuit techniques for reducing variation in nanoscale SRAM,” Ph.D. dissertation, Univ. California Berkeley, Berkeley, CA, May 2008. [5] S.Lakshminarayan, J.Joung, G. Narasimhan, R.Kaper, M. Slanina, J. Tung, M. Whately “Standby Power Reduction and SRAM Cell optimization for 65nm Technology” 10th Int‟l Symposium on Quality Electronic Design 2009 IEEE. [6] A. Bhavnagarwala, S. Kosonocky, C. Radens, Y. Chan, K. Stawiasz, U. Srinivasan, S. P. Kowalczyk, and M. M. Ziegler, “A sub-600 mV, fluctuation tolerant 65-nm CMOS SRAM array with dynamic cell biasing,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 946–955, Apr. 2008. [7] BSIM4.3.0 Manual Copyright 2003 UC Berkeley. BIOGRAPHIES Anant Anand Singh received the B.Tech. degree in electronics and communication engineering from Madan Mohan Malaviya Engineering College, Gorakhpur, India (now converted to autonomous university and known as MMMTU ), in 201. He is currently working toward the M.Tech degree in the field of very large scale integrated (VLSI) design and embedded system with the Department of Electronics Engineering, National Institute of Technology, Jamshedpur, India. Arvind Choubey received the Bachelor & M.Tech degree in Electrical Engineering from Bihar University and IIT- BHU, Varanasi in 1984 & 1987 respectively. He received PhD degree in signal processing and digital communication from Ranchi University, Jharkhand, India, in 2009. He joined as the Assistant Professor in National Institute of Technology (NIT), Jamshedpur, India, in 1987 & become Associate Professor in 1997. Presently, he has been working as a Professor in the Dept. of ECE at NIT Jamshedpur since 2009. He honored the chair of Head of the ECE department during tenure from Dec‟ 2000 to Jan„2005 and from June 2011 to May 2013. His present research interests are Digital Signal Processing, Digital Communication, Antenna Design,
  • 6. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 06 | Jun-2014, Available @ http://www.ijret.org 488 and Soft Computing. Prof. Choubey has been affiliated as Fellow member of Institute of Engineers (IE), India & Life Member of Indian School of Technical Education (ISTE), India. Raj Kumar Maddheshiya received the B.Tech. degree in electronics and communication engineering from Babu Banarsi Das National Institute of Technology and Management, Lucknow, in 2011. He is currently working toward the M.Tech degree in the field of very large scale integrated (VLSI) design and embedded system with the Department of Electronics Engineering, National Institute of Technology, Jamshedpur, India.