SlideShare a Scribd company logo
1 of 4
Download to read offline
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 424
LOW POWER SRAM DESIGN USING BLOCK PARTITIONING
R. A. Burange1
, G. H. Agrawal2
[1]
Asst. Professor, Dept. of Electronics Engg. KDKCE, Nagpur, MS, INDIA
[2]
Professor, Dept. of Electronics and Power Engg. KDKCE, Nagpur, MS, INDIA Member ISI
burange.rahul@gmail.com, ghagrawal66@yahoo.com
Abstract
Technology scaling results in significant increase of leakage currents in MOS devices due to which power consumption in Nano scale
devices increases. As memory accounts for the largest share of power consumption, thus there is need to design such a memory which
will consume less power.
Through this paper, we propose a systematic approach by Block partitioning which provides a methodology for reducing the dynamic
power consumption of SRAM (static random access memory). Dynamic power dissipation in memory is due to charging/discharging
of long capacitive lines (bit line and world line). So by block partitioning our goal is to reduce length of world line as well as bit line
capacitances. instead of implementing 1KB SRAM at a time we are designing four blocks of 256 byte RAM, which reduces world
line from 1024 bits to 256 bits. We implemented our design on TANNER TOOL using 180 nm technology
Keywords-Low power, SRAM, 6T cell, Dynamic power.
---------------------------------------------------------------------***----------------------------------------------------------------------
1. INTRODUCTION
Low power SRAM (static random access memory) has
become a critical component of many VLSI chips. Power
dissipation has become an important consideration both due
the increased integration and operating speeds as well as
due to the explosive growth of battery operated appliances.
When working with RAM we encounter two problems. First,
increasing size of memory increases length of high capacitive
lines (bit line and world line). This in turn Increases power
consumption and delay. With the help of partitioning of
SRAM we activate only desired bit line for read and write
operation [1]. Two types of power dissipation in nano-scale
MOS- devices are dynamic power dissipation and Static
power dissipation. The sum of the power consumption in
decoders, bit lines, data lines, sense amplifier, and periphery
circuits represent the active power consumption dissipated in
bit lines represents about 60% of the total dynamic power
consumption during a write operation [2,3,4]. Designing a
low power system not only Reduces weight and size of
batteries for portable systems but also helps in reducing the
ever-important packaging costs of integrated circuits
2. BLOCK DIAGRAM OF SRAM
Fig.1 Block diagram of SRAM
2. MEMORY ADDERSSING
We accessed the memory through different peripheral
circuitry.
2.1 Row Decoder
A row decoder is used to decode the given input address and
select the word line. When performing a write or, read
operation only one of the row is selected and 8 bits of data is
transmitted schematic of 8x256. Decoder is shown in fig .2.
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 425
Fig.2-schematic of 8x256 row Decoder
In our design we have 256 rows and each row contains 8 cells.
The row decoder selects one of those rows, depending on the 8
bit address given to it. In order to design a one bank of 256
byte SRAM a 8x256 decoder is used. Number of word line
equals to the number of rows in the SRAM cell array. In our
design a NAND based decoder is used. NAND based design is
suitable as it faster.
2.2. Column decoder (mux)
For designing 1KB SRAMs we designed four blocks of 256
bytes. Output of 8:256 decoder is common to all four banks
.Schematic of 4x1 mux is shown in fig.3
Fig.3-Schematic of 4x1 mux
So to select only one bank at a time we use eight single bit 4x1
mux.
2.3 Sense amplifier
A sense amplifier circuit is used to read the data from the cell.
In addition, it helps reduce the delay times and minimizes
power consumption in the overall SRAM chip by sensing a
small difference in voltage on the bit lines. Schematic of sense
amplifier is shown in fig.4
Fig .4-schematic of sense amplifier
A low-voltage sense amplifier is used in our SRAM design to
support high performance. 8 sense amplifier circuits were used
for the SRAM design, one for each column. For designing the
sense amplifiers cross-coupled inverters are used to sense a
small change of the voltages on the bit-line and the bit-line
bar. The sense amplifiers are only active during the read
operation. During the read operation the resulting signal gives
a much lower voltage swing, to compensate for the swing a
sense amplifier is used to amplify voltage coming off the two
bit lines, bit-line and bit-line bar.
2.4 Control signal:
We have included three control signals wr_en (write enable),
rd_en (read enable), EN(enable) in our design to perform
proper read/write operation .
2.4.1 Pre-charge Circuit
The function of the pre-charge circuit is to charge the bit-line
and inverse bit-lines (bit-line bar) to 5 V. The pre-charge
enables the bit-lines to be charged high at read cycle.
Fig.5-schematic of pre-charge circuit
Figure 5 shows the schematic of the pre-charge circuit. The
PMOS transistors used for the pre-charge circuit are 1.5 μm
each, the smallest width transistors.
3. SRAM CELL
In our design we have chosen full CMOS 6-transistor cell for
the cell array.
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 426
Fig.6-schematic of six transistor SRAM cell
Figure 6 shows the schematic of the 6-T cell. The 6-T cell
consists of two cross coupled inverters connected with the two
NMOS transistors on both the ends. Each NMOS transistor is
connected to an inverter on one side and bit line on the other
side. The data value is stored in the net connected to the left
side of the NMOS in figure 6. The inverse data value is stored
in the net connected to the right side of the NMOS in figure 6.
The input signal A0 in the figure 3 comes from the row
decoder, allows the cell to be connected to the complementary
bit lines during reading and writing and disconnects otherwise.
To determine the sizes of the transistors of the cell, simple
W/L ratios shown in equation 1 and equation 2 were used.
In the equations the subscripts show the transistor number
from figure 6. Equation 1 shows a simple relation between two
nmosN3 and N1[5,6]. Equation 2 shows a simple relation
between PMOS P5 and NMOS N3.Using the two equations,
approximate values of the widths of the transistor were
calculated and the design was simulated. The final wn and wp
values are shown in figure
4. POWER REDUCTION CONCEPT:
If suppose Power consumption of one bank (256_bytes) =P
.Then total power of four bank (256x4=1k) =4P
(Theoretically) Let power consumption after partitioning
(1k_byte) =x, then for desired result x<4P
5. SRAM POWER REDUCTION BY BLOCK
PARTITIONING
As described active power is major component of total
memory power .This paper develops systematic
approach for implementing 1kB SRAM using block
partitioning shown in fig.7 [7, 8]. As seen in figure.7 each
bank is of 256 Bytes. Output of 8x256 decoder is common
signal to all four banks.
Fig7.-SRAM block partitioning
An-1 and An are select signals of 4x1 mux. According to
select line given in above table, mux will select only one
corresponding Bank at a time. Thus instead of using 1024
world line we are using 256 world lines only. Thus block
partitioning targets total switching capacitance to achieve
reduced power and improved speed. It will also reduce
dynamic power and Column read current.
Fig.8 Schematic of one Bank (256_Byte) SRAM for read
/write operation
Select signal
An An-1
Selected bank
0 0 Bank 0
0 1 Bank 1
1 0 Bank 2
1 1 Bank 3
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 427
6. RESULT AND CONCLUSION
Average power is calculated for the design by carrying out
transient analysis and is found to be as following:
1KB un-partitioned SRAM: 1.243 uW.
1KB partitioned SRAM: 0.8712 uW
Hence it is concluded that block partitioning reduces the
dynamic power consumption of SRAM.
Figure 9: Transient analysis of SRAM
REFERENCES:
[1] Andrei Pavlov and Manoj Sachdev, “CMOS SRAM
Circuit Design and Parametric Test in Nano-Scale
Technologies”, 2008 Springer Science Business Media
B.V.ISBN 978-1-4020-8362-4 e-ISBN 978-1-4020-8363-1.
[2] Jawar Singh, Jimson Mathew, Saraju P. Mohanthy and
Dhiraj K. Pradhan, “Single Ended Static Random Memory for
Low-Vdd, High-Speed Embedded Systems”,22nd
International Conference on VLSI Design 2009.
[3] Bharadwaj S. Amrutur and Mark A. Horowitz, “Speed and
Power Scaling of SRAM’s”, IEEE Transactions on Solid-State
Circuits, vol. 35,pp. 175-185, no. 2, February 2000.
[4] Sreerama Reddy G M and P Chandrasekhara Reddy,
“Design and Implementation of 8K-bits Low Power SRAM in
180nm Technology”, Proceedings of the International Multi
Conference of Engineers and Compute Scientists 2009 Vol. II
IMECS 2009, March -20, 2009, Hong Kong.
[5] Byung-Do Yang, “A Low-Power SRAM Using Bit-Line
Charge-Recycling for Read and Write Operations”, IEEE
JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO.
10, OCTOBER 2010.
[6] Keejong Kim, Hamid Mahmoodi, Member, IEEE, and
Kaushik Roy, Fellow, IEEE.,”A Low-Power SRAM Using
Bit-Line Charge-Recycling”, IEEE JOURNAL OF SOLID-
STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008.
[7] Anh-Tuan Do, Zhi-Hui Kong, Kiat-Seng Yeo, and Jeremy
Yung Shern Low, “ Design and Sensitivity Analysis of a New
Current-Mode Sense Amplifier for Low-Power SRAM”. IEEE
TRANSACTIONS ON VERY LARGE SCALE
INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 2,
FEBRUARY 2011
[8] Ramy E. Aly and Magdy A. Bayoumi, Fellow,
IEEE,”Low-Power Cache Design Using 7T SRAM Cell”,
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—
II: EXPRESS BRIEFS, VOL. 54, NO. 4, APRIL 2007.

More Related Content

What's hot

Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Project Report Multilevel Cache
Project Report Multilevel CacheProject Report Multilevel Cache
Project Report Multilevel Cacheguest136ed3
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch PredictorsBharat Biyani
 
21bx21b booth 2 multiplier
21bx21b booth 2 multiplier21bx21b booth 2 multiplier
21bx21b booth 2 multiplierBharat Biyani
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilogSrinivas Naidu
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project reportBharat Biyani
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifierSoumyajit Langal
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
Cache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorCache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorBharat Biyani
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimizationijsrd.com
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 

What's hot (20)

Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
64 bit sram memory: design paper
64 bit sram memory: design paper64 bit sram memory: design paper
64 bit sram memory: design paper
 
Project Report Multilevel Cache
Project Report Multilevel CacheProject Report Multilevel Cache
Project Report Multilevel Cache
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch Predictors
 
21bx21b booth 2 multiplier
21bx21b booth 2 multiplier21bx21b booth 2 multiplier
21bx21b booth 2 multiplier
 
Sram technology
Sram technologySram technology
Sram technology
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifier
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Cache Design for an Alpha Microprocessor
Cache Design for an Alpha MicroprocessorCache Design for an Alpha Microprocessor
Cache Design for an Alpha Microprocessor
 
SRAM
SRAMSRAM
SRAM
 
A Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory OptimizationA Simplied Bit-Line Technique for Memory Optimization
A Simplied Bit-Line Technique for Memory Optimization
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 

Viewers also liked

Analysis of physical characteristics of bamboo
Analysis of physical characteristics of bambooAnalysis of physical characteristics of bamboo
Analysis of physical characteristics of bambooeSAT Publishing House
 
Effect of fly ash on the properties of cement
Effect of fly ash on the properties of cementEffect of fly ash on the properties of cement
Effect of fly ash on the properties of cementeSAT Publishing House
 
Condition assessment of concrete with ndt – case
Condition assessment of concrete with ndt – caseCondition assessment of concrete with ndt – case
Condition assessment of concrete with ndt – caseeSAT Publishing House
 
Emerging trends in supply chain management
Emerging trends in supply chain managementEmerging trends in supply chain management
Emerging trends in supply chain managementeSAT Publishing House
 
A novel work for bin packing problem by ant colony optimization
A novel work for bin packing problem by ant colony optimizationA novel work for bin packing problem by ant colony optimization
A novel work for bin packing problem by ant colony optimizationeSAT Publishing House
 
Improved method for pattern discovery in text mining
Improved method for pattern discovery in text miningImproved method for pattern discovery in text mining
Improved method for pattern discovery in text miningeSAT Publishing House
 
Non standard size image compression with reversible embedded wavelets
Non standard size image compression with reversible embedded waveletsNon standard size image compression with reversible embedded wavelets
Non standard size image compression with reversible embedded waveletseSAT Publishing House
 
Design & development of embedded application
Design & development of embedded applicationDesign & development of embedded application
Design & development of embedded applicationeSAT Publishing House
 
Influence of feeding system in injection moulding for
Influence of feeding system in injection moulding forInfluence of feeding system in injection moulding for
Influence of feeding system in injection moulding foreSAT Publishing House
 
Sleep activated brake control & call assistance (sabcca)
Sleep activated brake control & call assistance (sabcca)Sleep activated brake control & call assistance (sabcca)
Sleep activated brake control & call assistance (sabcca)eSAT Publishing House
 
Determinants of global competitiveness on industrial performance an applicati...
Determinants of global competitiveness on industrial performance an applicati...Determinants of global competitiveness on industrial performance an applicati...
Determinants of global competitiveness on industrial performance an applicati...eSAT Publishing House
 
Low power and high performance detff using common
Low power and high performance detff using commonLow power and high performance detff using common
Low power and high performance detff using commoneSAT Publishing House
 
An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...eSAT Publishing House
 
An evaluation of manet protocols in terms of tcp variants based on thier perf...
An evaluation of manet protocols in terms of tcp variants based on thier perf...An evaluation of manet protocols in terms of tcp variants based on thier perf...
An evaluation of manet protocols in terms of tcp variants based on thier perf...eSAT Publishing House
 
Uncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofUncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofeSAT Publishing House
 
Response sensitivity of the structure using vibration
Response sensitivity of the structure using vibrationResponse sensitivity of the structure using vibration
Response sensitivity of the structure using vibrationeSAT Publishing House
 
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...eSAT Publishing House
 
Laboratory studies of dense bituminous mixes ii with
Laboratory studies of dense bituminous mixes ii withLaboratory studies of dense bituminous mixes ii with
Laboratory studies of dense bituminous mixes ii witheSAT Publishing House
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining processeSAT Publishing House
 
An enhanced approach for securing mobile agents from
An enhanced approach for securing mobile agents fromAn enhanced approach for securing mobile agents from
An enhanced approach for securing mobile agents fromeSAT Publishing House
 

Viewers also liked (20)

Analysis of physical characteristics of bamboo
Analysis of physical characteristics of bambooAnalysis of physical characteristics of bamboo
Analysis of physical characteristics of bamboo
 
Effect of fly ash on the properties of cement
Effect of fly ash on the properties of cementEffect of fly ash on the properties of cement
Effect of fly ash on the properties of cement
 
Condition assessment of concrete with ndt – case
Condition assessment of concrete with ndt – caseCondition assessment of concrete with ndt – case
Condition assessment of concrete with ndt – case
 
Emerging trends in supply chain management
Emerging trends in supply chain managementEmerging trends in supply chain management
Emerging trends in supply chain management
 
A novel work for bin packing problem by ant colony optimization
A novel work for bin packing problem by ant colony optimizationA novel work for bin packing problem by ant colony optimization
A novel work for bin packing problem by ant colony optimization
 
Improved method for pattern discovery in text mining
Improved method for pattern discovery in text miningImproved method for pattern discovery in text mining
Improved method for pattern discovery in text mining
 
Non standard size image compression with reversible embedded wavelets
Non standard size image compression with reversible embedded waveletsNon standard size image compression with reversible embedded wavelets
Non standard size image compression with reversible embedded wavelets
 
Design & development of embedded application
Design & development of embedded applicationDesign & development of embedded application
Design & development of embedded application
 
Influence of feeding system in injection moulding for
Influence of feeding system in injection moulding forInfluence of feeding system in injection moulding for
Influence of feeding system in injection moulding for
 
Sleep activated brake control & call assistance (sabcca)
Sleep activated brake control & call assistance (sabcca)Sleep activated brake control & call assistance (sabcca)
Sleep activated brake control & call assistance (sabcca)
 
Determinants of global competitiveness on industrial performance an applicati...
Determinants of global competitiveness on industrial performance an applicati...Determinants of global competitiveness on industrial performance an applicati...
Determinants of global competitiveness on industrial performance an applicati...
 
Low power and high performance detff using common
Low power and high performance detff using commonLow power and high performance detff using common
Low power and high performance detff using common
 
An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...An analysis of raw materials for concretes as metal sheets for solar radiatio...
An analysis of raw materials for concretes as metal sheets for solar radiatio...
 
An evaluation of manet protocols in terms of tcp variants based on thier perf...
An evaluation of manet protocols in terms of tcp variants based on thier perf...An evaluation of manet protocols in terms of tcp variants based on thier perf...
An evaluation of manet protocols in terms of tcp variants based on thier perf...
 
Uncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability ofUncertainty modelling and limit state reliability of
Uncertainty modelling and limit state reliability of
 
Response sensitivity of the structure using vibration
Response sensitivity of the structure using vibrationResponse sensitivity of the structure using vibration
Response sensitivity of the structure using vibration
 
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...
Power factor correction of 6.6 kv distribution line in lc11 rmhs department, ...
 
Laboratory studies of dense bituminous mixes ii with
Laboratory studies of dense bituminous mixes ii withLaboratory studies of dense bituminous mixes ii with
Laboratory studies of dense bituminous mixes ii with
 
Modeling and optimization of end milling machining process
Modeling and optimization of end milling machining processModeling and optimization of end milling machining process
Modeling and optimization of end milling machining process
 
An enhanced approach for securing mobile agents from
An enhanced approach for securing mobile agents fromAn enhanced approach for securing mobile agents from
An enhanced approach for securing mobile agents from
 

Similar to Low power sram design using block partitioning

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
Low power sram using atd circuit
Low power sram using atd circuitLow power sram using atd circuit
Low power sram using atd circuiteSAT Journals
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 

Similar to Low power sram design using block partitioning (20)

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
B0540714
B0540714B0540714
B0540714
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Kj2417641769
Kj2417641769Kj2417641769
Kj2417641769
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
L24093097
L24093097L24093097
L24093097
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
Low power sram using atd circuit
Low power sram using atd circuitLow power sram using atd circuit
Low power sram using atd circuit
 
Low power sram using atd circuit
Low power sram using atd circuitLow power sram using atd circuit
Low power sram using atd circuit
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 

More from eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

More from eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Recently uploaded

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...Chandu841456
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture designssuser87fa0c1
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 

Recently uploaded (20)

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...An experimental study in using natural admixture as an alternative for chemic...
An experimental study in using natural admixture as an alternative for chemic...
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture design
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 

Low power sram design using block partitioning

  • 1. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 424 LOW POWER SRAM DESIGN USING BLOCK PARTITIONING R. A. Burange1 , G. H. Agrawal2 [1] Asst. Professor, Dept. of Electronics Engg. KDKCE, Nagpur, MS, INDIA [2] Professor, Dept. of Electronics and Power Engg. KDKCE, Nagpur, MS, INDIA Member ISI burange.rahul@gmail.com, ghagrawal66@yahoo.com Abstract Technology scaling results in significant increase of leakage currents in MOS devices due to which power consumption in Nano scale devices increases. As memory accounts for the largest share of power consumption, thus there is need to design such a memory which will consume less power. Through this paper, we propose a systematic approach by Block partitioning which provides a methodology for reducing the dynamic power consumption of SRAM (static random access memory). Dynamic power dissipation in memory is due to charging/discharging of long capacitive lines (bit line and world line). So by block partitioning our goal is to reduce length of world line as well as bit line capacitances. instead of implementing 1KB SRAM at a time we are designing four blocks of 256 byte RAM, which reduces world line from 1024 bits to 256 bits. We implemented our design on TANNER TOOL using 180 nm technology Keywords-Low power, SRAM, 6T cell, Dynamic power. ---------------------------------------------------------------------***---------------------------------------------------------------------- 1. INTRODUCTION Low power SRAM (static random access memory) has become a critical component of many VLSI chips. Power dissipation has become an important consideration both due the increased integration and operating speeds as well as due to the explosive growth of battery operated appliances. When working with RAM we encounter two problems. First, increasing size of memory increases length of high capacitive lines (bit line and world line). This in turn Increases power consumption and delay. With the help of partitioning of SRAM we activate only desired bit line for read and write operation [1]. Two types of power dissipation in nano-scale MOS- devices are dynamic power dissipation and Static power dissipation. The sum of the power consumption in decoders, bit lines, data lines, sense amplifier, and periphery circuits represent the active power consumption dissipated in bit lines represents about 60% of the total dynamic power consumption during a write operation [2,3,4]. Designing a low power system not only Reduces weight and size of batteries for portable systems but also helps in reducing the ever-important packaging costs of integrated circuits 2. BLOCK DIAGRAM OF SRAM Fig.1 Block diagram of SRAM 2. MEMORY ADDERSSING We accessed the memory through different peripheral circuitry. 2.1 Row Decoder A row decoder is used to decode the given input address and select the word line. When performing a write or, read operation only one of the row is selected and 8 bits of data is transmitted schematic of 8x256. Decoder is shown in fig .2.
  • 2. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 425 Fig.2-schematic of 8x256 row Decoder In our design we have 256 rows and each row contains 8 cells. The row decoder selects one of those rows, depending on the 8 bit address given to it. In order to design a one bank of 256 byte SRAM a 8x256 decoder is used. Number of word line equals to the number of rows in the SRAM cell array. In our design a NAND based decoder is used. NAND based design is suitable as it faster. 2.2. Column decoder (mux) For designing 1KB SRAMs we designed four blocks of 256 bytes. Output of 8:256 decoder is common to all four banks .Schematic of 4x1 mux is shown in fig.3 Fig.3-Schematic of 4x1 mux So to select only one bank at a time we use eight single bit 4x1 mux. 2.3 Sense amplifier A sense amplifier circuit is used to read the data from the cell. In addition, it helps reduce the delay times and minimizes power consumption in the overall SRAM chip by sensing a small difference in voltage on the bit lines. Schematic of sense amplifier is shown in fig.4 Fig .4-schematic of sense amplifier A low-voltage sense amplifier is used in our SRAM design to support high performance. 8 sense amplifier circuits were used for the SRAM design, one for each column. For designing the sense amplifiers cross-coupled inverters are used to sense a small change of the voltages on the bit-line and the bit-line bar. The sense amplifiers are only active during the read operation. During the read operation the resulting signal gives a much lower voltage swing, to compensate for the swing a sense amplifier is used to amplify voltage coming off the two bit lines, bit-line and bit-line bar. 2.4 Control signal: We have included three control signals wr_en (write enable), rd_en (read enable), EN(enable) in our design to perform proper read/write operation . 2.4.1 Pre-charge Circuit The function of the pre-charge circuit is to charge the bit-line and inverse bit-lines (bit-line bar) to 5 V. The pre-charge enables the bit-lines to be charged high at read cycle. Fig.5-schematic of pre-charge circuit Figure 5 shows the schematic of the pre-charge circuit. The PMOS transistors used for the pre-charge circuit are 1.5 μm each, the smallest width transistors. 3. SRAM CELL In our design we have chosen full CMOS 6-transistor cell for the cell array.
  • 3. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 426 Fig.6-schematic of six transistor SRAM cell Figure 6 shows the schematic of the 6-T cell. The 6-T cell consists of two cross coupled inverters connected with the two NMOS transistors on both the ends. Each NMOS transistor is connected to an inverter on one side and bit line on the other side. The data value is stored in the net connected to the left side of the NMOS in figure 6. The inverse data value is stored in the net connected to the right side of the NMOS in figure 6. The input signal A0 in the figure 3 comes from the row decoder, allows the cell to be connected to the complementary bit lines during reading and writing and disconnects otherwise. To determine the sizes of the transistors of the cell, simple W/L ratios shown in equation 1 and equation 2 were used. In the equations the subscripts show the transistor number from figure 6. Equation 1 shows a simple relation between two nmosN3 and N1[5,6]. Equation 2 shows a simple relation between PMOS P5 and NMOS N3.Using the two equations, approximate values of the widths of the transistor were calculated and the design was simulated. The final wn and wp values are shown in figure 4. POWER REDUCTION CONCEPT: If suppose Power consumption of one bank (256_bytes) =P .Then total power of four bank (256x4=1k) =4P (Theoretically) Let power consumption after partitioning (1k_byte) =x, then for desired result x<4P 5. SRAM POWER REDUCTION BY BLOCK PARTITIONING As described active power is major component of total memory power .This paper develops systematic approach for implementing 1kB SRAM using block partitioning shown in fig.7 [7, 8]. As seen in figure.7 each bank is of 256 Bytes. Output of 8x256 decoder is common signal to all four banks. Fig7.-SRAM block partitioning An-1 and An are select signals of 4x1 mux. According to select line given in above table, mux will select only one corresponding Bank at a time. Thus instead of using 1024 world line we are using 256 world lines only. Thus block partitioning targets total switching capacitance to achieve reduced power and improved speed. It will also reduce dynamic power and Column read current. Fig.8 Schematic of one Bank (256_Byte) SRAM for read /write operation Select signal An An-1 Selected bank 0 0 Bank 0 0 1 Bank 1 1 0 Bank 2 1 1 Bank 3
  • 4. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 04 | Apr-2013, Available @ http://www.ijret.org 427 6. RESULT AND CONCLUSION Average power is calculated for the design by carrying out transient analysis and is found to be as following: 1KB un-partitioned SRAM: 1.243 uW. 1KB partitioned SRAM: 0.8712 uW Hence it is concluded that block partitioning reduces the dynamic power consumption of SRAM. Figure 9: Transient analysis of SRAM REFERENCES: [1] Andrei Pavlov and Manoj Sachdev, “CMOS SRAM Circuit Design and Parametric Test in Nano-Scale Technologies”, 2008 Springer Science Business Media B.V.ISBN 978-1-4020-8362-4 e-ISBN 978-1-4020-8363-1. [2] Jawar Singh, Jimson Mathew, Saraju P. Mohanthy and Dhiraj K. Pradhan, “Single Ended Static Random Memory for Low-Vdd, High-Speed Embedded Systems”,22nd International Conference on VLSI Design 2009. [3] Bharadwaj S. Amrutur and Mark A. Horowitz, “Speed and Power Scaling of SRAM’s”, IEEE Transactions on Solid-State Circuits, vol. 35,pp. 175-185, no. 2, February 2000. [4] Sreerama Reddy G M and P Chandrasekhara Reddy, “Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology”, Proceedings of the International Multi Conference of Engineers and Compute Scientists 2009 Vol. II IMECS 2009, March -20, 2009, Hong Kong. [5] Byung-Do Yang, “A Low-Power SRAM Using Bit-Line Charge-Recycling for Read and Write Operations”, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 10, OCTOBER 2010. [6] Keejong Kim, Hamid Mahmoodi, Member, IEEE, and Kaushik Roy, Fellow, IEEE.,”A Low-Power SRAM Using Bit-Line Charge-Recycling”, IEEE JOURNAL OF SOLID- STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008. [7] Anh-Tuan Do, Zhi-Hui Kong, Kiat-Seng Yeo, and Jeremy Yung Shern Low, “ Design and Sensitivity Analysis of a New Current-Mode Sense Amplifier for Low-Power SRAM”. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2011 [8] Ramy E. Aly and Magdy A. Bayoumi, Fellow, IEEE,”Low-Power Cache Design Using 7T SRAM Cell”, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS— II: EXPRESS BRIEFS, VOL. 54, NO. 4, APRIL 2007.