SlideShare a Scribd company logo
1 of 6
Download to read offline
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 259 | P a g e
Modeling and Implementation of Reliable Ternary Arithmetic
and Logic Unit Design Using Vhdl
Meruva Kumar Raja*
, Neelima Koppala**
*
P.G Student M.Tech (VLSI), ECE, Sree Vidyanikethan Engineering College (Autonomous), Tirupati.
**
Assistant professor M.Tech, ECE, Sree Vidyanikethan Engineering College (Autonomous), Tirupati.
ABSTRACT
Multivalve logic is a reliable method for defining, analyzing, testing and implementing the basic combinational
circuitry with VHDL simulator. It offers better utilization of transmission channels because of its high speed for
higher information carried out and it gives more efficient performance. One of the main realizing of the MVL
(ternary logic) is that reduces the number of required computation steps, simplicity and energy efficiency in
digital logic design. This paper using reliable method is brought out for implementing the basic combinational,
sequential and TALU (Ternary Arithmetic and Logic Unit) circuitry with minimum number of ternary switching
circuits (Multiplexers). In this the potential of VHDL modelling and simulation that can be applied to ternary
switching circuits to verify its functionality and timing specifications. An intention is to show how proposed
simulator can be used to simulate MVL circuits and to evaluate system performance.
Keywords: Gate count, MVL, reliability- unreliability model, ternary switching levels, VHDL, Xilinx ISE10.1i.
I. INTRODUCTION
Ternary (3-Valued) logic is operating at 3
switching levels, and it is one of logic in Multi-
valued logic or many-value logic. The switch levels
of ternary logic are denoted by X may assuming
either X0
,XZ
,X1
where 0,Z,1 signifies logic (voltage)
levels per „0‟ as low voltage level corresponding to
low level logic (logic-0), „Z‟ corresponding to
medium level logic (logic-Z as high impedance also
called meta stable state) and „1‟ corresponding to
high/maximum level logic (logic-1). Ternary logic
based system have more and important advantage and
less requiring computation steps than binary. It has
high logic capacity than binary then these logic based
design of VLSI circuits are more reliable than binary
and in order to bring their full potential into many
operational circuits. Here possible for ternary logic to
achieve simple and energy efficient in digital logic
design.
I.I Previous Design
Details of ternary logic based design by using
novel method as multiplexer taking as to build logic
blocks [1]. These method based design are more
efficient for designing of VLSI circuits.
I.II Present Design
The present work in this paper deals with the use
of Very High-Speed Integrated Circuit Hardware
Description Language (VHDL) as a logic simulator
for evaluate the performance of ternary logic based
circuits. Future day‟s VLSI technology offers ways to
realize MVL circuits in order to bring their full
potential into many operational levels in circuits.
Here presenting a concept to model the ternary
combinational ternary arithmetic and logic unit
circuits using with minimum number of multiplexers
showing the potential and ease in the design of
ternary circuits (the multiplexer based designs are
also known as novel method for designing of digital
circuits) as well as its modelling using Electronic
Design Automation (EDA) tool for its performance
with respecting to verification of desire functionality
of MVL. In this paper Section II reliability and
VHDL of ternary algebra. In Section III, Design of
Ternary arithmetic and logic unit. Verification and
Simulation results of TALU shows in section IV and
Conclusion and future work is given in Section V.
I.III Benefits
These benefits have been shown to be useful for
the design of ternary computers, for digital filtering.
Ternary representation of admits sign convention
also.
 Ternary logic is mainly applied in new
transforms for encoding and more efficient for
compression, error correction, and state
assignment, representation of discrete
information and in automatic telephony.
 Ternary logic also offers greater utilization of
transmission channels because of the higher
information content carried by every line. It
gives exact and more efficient error detection
and correction codes and possesses potentially
higher density of information storage.
RESEARCH ARTICLE OPEN ACCESS
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 260 | P a g e
I.IV Applications
This is the reason for ternary is casting this
serial, parallel, serial-parallel and some serial-serial
operations can be carried out at higher speed its
advantages have been confirmed in the applications
field of memory, communication, Machine Learning,
Fuzzy logic, Artificial Intelligence, Robotics, Data
Mining, Digital signal processing, Digital control
systems and Image Processing etc.,.
I.V Advantages
Ternary algebra is an evident advantage of a
ternary representation over than binary is economy of
digits. To represent a number in binary system, one
needs 62.5% more digits than that of ternary and
memory of circuit designing is less than binary.
 The main advantage of ternary logic is that it
reduces the number of required computation
steps for developing digital design.
 Furthermore memory, control unit, and
processor can be carried out faster if the
ternary logic is easily employed and memory
utilization also less than binary [2].
For Example: To represent a 20-digit decimal
number one requires 40 ternary digits instead of 65
binary digits.
II. RELIABILITY AND VHDL FOR
TERNARY ALGEBRA
II.I Reliability for Ternary Algebra:
The Reliability-Unreliability model is sufficient
enough to support the decision methodology
statistically as shown in Fig. 1 Mathematically, it
implies that reliability will be interpreted by the
inequality 0≤ R≤1. If R=1, it is interpreted to be
absolutely true and if R=0, it is interpreted to be
absolutely false. If R being in the vicinity of 0.5
could be interpreted as neither true nor false and
above 0.5 is said to be reliability level and below 0.5
is said to be unreliability level. In VLSI circuits‟
designing of basic formula,
P= (Vdd C F)/2-----> (1)
The equation (1) is applied to ternary logic then the
reducing number of logic gates as per representation
of computation steps of logic circuits then reducing
chip area, chip delay and power utilization.
Figure 1: Truth value based on reliability-
unreliability model
II.II VHDL for Ternary Algebra:
Table 1: Nine-State logic system
Symbols Values
U Uninitialized
X Unknown
- Don‟t care
L Weak 0
H Weak 1
W Weak unknown
0 Logic 0
1 Logic 1
Z High impedance/Meta stable
In present work VHDL can be used to model,
simulate and describe ternary system where signals
inside the circuit can take tri state logic. The present
VHDL simulator are only provide to develop and it
can be used to synthesize & to verify the performance
of ternary logic circuits with the help of technology
dependent package called Nine-state StdLogic_1164
package whose levels are listed in Table 1 which
allows the description of circuits based on TTL,
CMOS, GaAs, NMOS, PMOS and ECL devices. To
demonstrate the use of VHDL as a ternary logic
simulator, we have used Logic-0 to represent 0 volt,
High impendence Z to represent 0.5 volt and Logic-1
to represent 1 volt.
VHDL provides an effective way to connect
several logic outputs to a single input, where all but
one is forced to the high impedance state, allowing
the remaining outputs to operate in the normal binary
sense [3]. This concept is commonly used for
memory bank for connection in computers and other
similar devices to a common data bus where a large
number of devices can communicate over the same
channel, simply by ensuring only one is enabled at a
time.
III. DESIGN OF TERNARY ALU
Figure 2: Block diagram of Ternary ALU
In this paper, TALU (ternary arithmetic and
logic unit) is develop by using logic unit as overall
(complete) logic gates and arithmetic unit for
performance of addition, subtraction, multiplication,
comparison from adder part, sub tractor part,
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 261 | P a g e
multiplier, comparator are 3x1 MUX is taken as a
basic building block to explore the realization of
circuits with minimum number of ternary 3X1 MUX
(Multiplexer) and the outputs of two unit parts are
represents in 81x1 MUX with techniques of
Electronic Design Automation tools. The design
concept is implemented based on ternary K-map
method for ternary function minimization.
Here Design of Realized TALU is based on
ternary Multiplexers for logic gates minimization.
The block diagram of Ternary ALU is shown in Fig.
2 Here A, B are inputs and carry in, borrow in, A<B,
A=B, A>B are cascading inputs (in outs) then getting
Sum (S1), Carry out (C out), Subtraction (D0, D1),
Borrow (B out), Multiplication (product), C out
(Carry), A<B A>B A=B as comparator responses and
Logical outputs as responses of ternary basic logic
gates [4].
III.I Design of Arithmetic Unit
In this paper arithmetic unit consider to follow
by some rules, previous paper worked on its design.
But here verifying its design, functionality and
simulation results are shown in section V.
III.I.I Design of Ternary Adder and Ternary
Subtractor
In this paper considering for addition and
subtraction performances should taking 2 bit inputs
and in these one are ternary adder circuit that will add
2 ternary full adders and generate outputs Sum0 (S0),
Sum1 (S1), Carry out(C out) and Carry in(C in) as
inout for ternary full adder 1 to ternary full adder 2.
Fig. 3 shows block diagram of ternary adder module.
Similarly, Ternary full subtractor circuit that will add
2 ternary full subtractors and generate outputs
difference0 (D0), difference1 (D1), borrow out (B
out) and borrow in (B in) as in out for ternary full
subtractor 1 to ternary full subtractor 2. Fig. 4 shows
block diagram of ternary subtractor module.
III.I.I.I Design of Full Adder & Full Subtractor
using 3x1 Multiplexer
A full adder (FA) is a circuit that will add three
bits and generates a sum and a carry, Fig. 5 shows the
design of FA. Similarly, Full Subtractor (FS) is a
circuit that will subtract three bits (i.e., (A1-(B1-Bin)),
and generates a difference and borrow. Fig. 6 shows
the design of FS.
Figure 3: Ternary Adder Figure 4: Ternary
Subtractor
Figure 5: Block and circuit Diagrams for Ternary
Full adder
Figure 6: Block and circuit Diagrams for Ternary
Full subtractor
III.I.II Design of Multiplier using 3x1 Multiplexer
In this Multiplier multiplies two bits and
generates the product and carry as shown in the
structural modelling is design using 3x1 multiplexer
in Fig. 7.
Figure 7: Block and circuit diagrams for one bit
Ternary Multiplier
III.I.III Design of Comparator using 3x1
Multiplexer
Figure 8: Block and circuit diagram for one bit
Ternary comparator
In this is a magnitude comparator is a
combinational circuit that compares two bits A & B
and determines their relative magnitudes. The
comparison of two bits is an operation that
determines if one number is greater than, less than or
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 262 | P a g e
equal to other number. The design of 1-bit
comparator is shown in Fig. 8. It gives Y=f(A>B)
when en=0, Y=f(A=B) when en=1 and Y=f(A<B)
when en=2.
III.II Design of 3x1 Multiplexer
These are multiplexer based designing also
known as novel method for Digital logic design. In
ternary algebra it is an approach for implementing
ternary function is to convert given ternary variable
into unary variable using ternary to unary decoder as
shown in Fig. 9. A decoder shown in Fig. 10 is a
combinational circuit that converts the ternary
information from n input lines to a maximum of 3n
unique output line and its functionality was verified.
Figure 9: Implementation of ternary function
Figure 10: Block and Circuit diagram for 1X3
Ternary Decoder
Figure 11: Block and circuit diagrams of 3X1
Ternary Multiplexer
A ternary multiplexer is a combinational circuit
that selects one of the 3n
input lines based, on a set of
n selection lines and directs it to a single output line.
Normally, there are 3n
inputs which come from a
decoder and n select lines whose bit combinations
determine which input to select. The design of 3X1
multiplexer (MUX) is as presented in Fig. 11.
III.III Design of Logic Unit
Previous papers are developed some of ternary
logic gates. But here, to proposed complete logic
gates in ternary algebra and these are shown in Fig.
12 and the logic unit performs complete logic gates in
ternary algebra. These are analyzing to developing by
previous papers.
III.IV Design of 81x1 Multiplexer using 3x1
Multiplexer
In this project 3x1 MUX is taken as a basic
building block to explore the realization of 81x1
MUX in which we have the four selection lines and
Fig. 13 shows the 81x1 MUX. This is using for
representing the outputs of ternary arithmetic and
logic unit.
Figure 12: Symbols for basic ternary logic gates
Figure 13: Block diagram of 81x1Ternary
Multiplexer
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 263 | P a g e
III.V Utilization of Reliable TALU
Table 2: Utilization levels of TALU
Logic
utilization
Used Available Utilization
Number of
4 input
LUTs
76 9,312 0%
Number of
occupied
Slices
42 4,656 0%
Number of
bonded
IOBs
88 232 37%
Delay 11.359ns
Delay for
Logic
7.891ns 11.359ns 69.50%
Delay for
Route
3.468ns 11.359ns 30.50%
Total
Memory
usage
150212KB
Graph 1: Utilization of reliable TALU
Here calculating device utilization level in terms
of percentage and time taken execution (delay) for the
outputs also delay for logic and routing as shown in
Table 2. In above table represents details for
calculation utilization of reliable TALU and graph1 is
shows the representation of utilization of reliable
TALU.
IV. SIMULATION RESULTS FOR
TERNARY ALU
The proposal design of Ternary Arithmetic and
Logic unit (TALU) is verifying by its functionality
using Xilinx ISE 10.1i, ISIM simulator. The package
of ternary types is developed by using previous paper
[5]. Here A, B and C in giving inputs are to verifying
functionality of Ternary basic logic gates as A, B is
(„z‟ „0‟) and („z„ ‟0‟) then getting response at the
current simulation time 300ns subtractor part of D0,
D1and B1 responses are „z‟, „1‟ and „z‟
respectively as shown in Fig. 14.
Figure 14: Simulation results of Ternary Arithmetic
and Logic Unit
Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264
www.ijera.com 264 | P a g e
V. CONCLUSION
Ternary logic based design are having higher
information carrying capacity than binary. Thus,
ternary logic gate design technique also provides an
excellent speed and power consumption
characteristics in data path circuit such as full
subtractor and full adder. Ternary logic provides
means of increasing data processing capability per
unit chip area. The main advantages of ternary logic
are that it reduces the number of required
computation steps. The number of digits required in a
ternary family is log32 times less than that required in
binary logic. In this paper, reliable TALU (Ternary
Arithmetic Logic Unit) are designed with minimum
number of ternary multiplexers. In these work
confirmed to say ternary logic based designs are
having less memory, power and delay than binary for
addition, subtraction, multiplication and comparisons
are should involving number of operations to
verifying the functionality. But in binary logic based
those operation should perform simply only one
operation having more memory and slight greater
delay. In this proposed work developed complete
ternary algebra basic logic gates, 81X1 multiplexer
and also synthesizes delay for logic and routing of
TALU, device utilization. VHDL simulator has been
used to simulate Ternary logic based Systems which
provide enough information to verify functionality
and timing specifications.
VI. ACKNOWLEDGEMENT
The authors would like to thank Ms. Neelema
Koppala, Department of ECE for her valuable
guidance.
REFERENCES
[1]. Sweta Giri, Mrs. N. Saraswathi.
“Implementation of combinational
circuits using ternary multiplexer”,
IJCER, Mar-Apr 2012 Vol. 2, No. 2, pp457-
463.
[2]. S.L. Hurst, “Multi-valued logic - Its status
and its future”, IEEE Trans. On
Computers, vol. C-33, 1984, pp. 1160-1179.
[3]. A.P. Dhande, R.C. Jaiswal, S.S Dudam.
“Ternary Logic Simulator using VHDL”,
SETIT 2007 TUNISIA, March 25-29, pp1-
6.
[4]. A.P. Dhande, V.T. Ingole. “Design and
implementation of 2-bit Ternary ALU
Slice”, SETIT 2005 TUNISIA, March 17-
21.
[5]. C. Rozon, “On the Use of VHDL as a Multi-
Valued Logic Simulator”, ISMVL‟96, IEEE,
1996, pp.110-115.
BIOGRAPHIES
Mr. Meruva Kumar Raja
received the B.Tech. degree in
2012 in Electrical and
Electronics Engineering from
K.O.R.M College of Engineering. He is presently
pursuing M.Tech in VLSI in Sree Vidyanikethan
Engineering College (Autonomous), Tirupati and
would graduate in the year 2014. His research
interests include Digital Logic Design, VLSI and
FPGA.
Ms. Neelima Koppala, M.Tech.,
is currently working as an
Assistant Professor in ECE
Department of Sree Vidyanikethan
Engineering College (Autonomous), Tirupati. She
has completed M.Tech in VLSI Design, in
Satyabhama University and received B.Tech from
Sree Vidyanikethan Engineering College, Tirupati.
Her research areas are RFIC Design, Digital Design
and VLSI Signal Processing.

More Related Content

What's hot

Iisrt z swati sharma
Iisrt z swati sharmaIisrt z swati sharma
Iisrt z swati sharmaIISRT
 
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...Stochastic Computing Correlation Utilization in Convolutional Neural Network ...
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...TELKOMNIKA JOURNAL
 
An advancement in the N×N Multiplier Architecture Realization via the Ancient...
An advancement in the N×N Multiplier Architecture Realization via the Ancient...An advancement in the N×N Multiplier Architecture Realization via the Ancient...
An advancement in the N×N Multiplier Architecture Realization via the Ancient...VIT-AP University
 
Design of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systemsDesign of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systemseSAT Journals
 
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...idescitation
 
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...IJECEIAES
 
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderLow Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderIJERA Editor
 
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...cscpconf
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicVLSICS Design
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYecij
 
A novel technique for speech encryption based on k-means clustering and quant...
A novel technique for speech encryption based on k-means clustering and quant...A novel technique for speech encryption based on k-means clustering and quant...
A novel technique for speech encryption based on k-means clustering and quant...journalBEEI
 
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...IJERA Editor
 
A High Performance Modified SPIHT for Scalable Image Compression
A High Performance Modified SPIHT for Scalable Image CompressionA High Performance Modified SPIHT for Scalable Image Compression
A High Performance Modified SPIHT for Scalable Image CompressionCSCJournals
 
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...IRJET Journal
 
Reliability Improvement in Logic Circuit Stochastic Computation
Reliability Improvement in Logic Circuit Stochastic ComputationReliability Improvement in Logic Circuit Stochastic Computation
Reliability Improvement in Logic Circuit Stochastic ComputationWaqas Tariq
 

What's hot (18)

Iisrt z swati sharma
Iisrt z swati sharmaIisrt z swati sharma
Iisrt z swati sharma
 
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...Stochastic Computing Correlation Utilization in Convolutional Neural Network ...
Stochastic Computing Correlation Utilization in Convolutional Neural Network ...
 
An advancement in the N×N Multiplier Architecture Realization via the Ancient...
An advancement in the N×N Multiplier Architecture Realization via the Ancient...An advancement in the N×N Multiplier Architecture Realization via the Ancient...
An advancement in the N×N Multiplier Architecture Realization via the Ancient...
 
Design of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systemsDesign of hybrid qrd architecture using mimo ofdm systems
Design of hybrid qrd architecture using mimo ofdm systems
 
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...
Design of Efficient 4×4 Quaternary Vedic Multiplier Using Current-Mode Multi-...
 
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...
Reversed-Trellis Tail-Biting Convolutional Code (RT-TBCC) Decoder Architectur...
 
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderLow Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
 
C010321217
C010321217C010321217
C010321217
 
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...
Full Communication in a Wireless Sensor Network by Merging Blocks of a Key Pr...
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic
 
2nd sem
2nd sem2nd sem
2nd sem
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
 
A novel technique for speech encryption based on k-means clustering and quant...
A novel technique for speech encryption based on k-means clustering and quant...A novel technique for speech encryption based on k-means clustering and quant...
A novel technique for speech encryption based on k-means clustering and quant...
 
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...
A Novel Algorithm on Wavelet Based Robust Invisible Digital Image Watermarkin...
 
A High Performance Modified SPIHT for Scalable Image Compression
A High Performance Modified SPIHT for Scalable Image CompressionA High Performance Modified SPIHT for Scalable Image Compression
A High Performance Modified SPIHT for Scalable Image Compression
 
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...
IRJET-Study of Performance analysis of Wind Tunnel Simulation of Pollutant Di...
 
Reliability Improvement in Logic Circuit Stochastic Computation
Reliability Improvement in Logic Circuit Stochastic ComputationReliability Improvement in Logic Circuit Stochastic Computation
Reliability Improvement in Logic Circuit Stochastic Computation
 
38 116-1-pb
38 116-1-pb38 116-1-pb
38 116-1-pb
 

Viewers also liked

Viewers also liked (17)

Buletin Isma Pondok Pesantren Al-Basyariyah Bandung (Februari 2008)
Buletin Isma Pondok Pesantren Al-Basyariyah Bandung (Februari 2008)Buletin Isma Pondok Pesantren Al-Basyariyah Bandung (Februari 2008)
Buletin Isma Pondok Pesantren Al-Basyariyah Bandung (Februari 2008)
 
Ak04606222232
Ak04606222232Ak04606222232
Ak04606222232
 
Ae04603181184
Ae04603181184Ae04603181184
Ae04603181184
 
The Walking Dead - Queda do Governador - Parte 2
The Walking Dead - Queda do Governador - Parte 2The Walking Dead - Queda do Governador - Parte 2
The Walking Dead - Queda do Governador - Parte 2
 
COMPUTER
COMPUTER COMPUTER
COMPUTER
 
H046053944
H046053944H046053944
H046053944
 
D046051721
D046051721D046051721
D046051721
 
Kponencia.cie.2013.v.1.1
Kponencia.cie.2013.v.1.1Kponencia.cie.2013.v.1.1
Kponencia.cie.2013.v.1.1
 
Sistema solar jae
Sistema solar jaeSistema solar jae
Sistema solar jae
 
Pecha Kucha ITDi
Pecha Kucha ITDiPecha Kucha ITDi
Pecha Kucha ITDi
 
De la Estrategía a la Ejecución
De la Estrategía a la EjecuciónDe la Estrategía a la Ejecución
De la Estrategía a la Ejecución
 
Ac04603170174
Ac04603170174Ac04603170174
Ac04603170174
 
Hostigamiento sexual
Hostigamiento sexualHostigamiento sexual
Hostigamiento sexual
 
B046040611
B046040611B046040611
B046040611
 
Aprender y enseñar en colaboracion
Aprender y enseñar en  colaboracionAprender y enseñar en  colaboracion
Aprender y enseñar en colaboracion
 
Am04605271282
Am04605271282Am04605271282
Am04605271282
 
Taller inducción
Taller inducciónTaller inducción
Taller inducción
 

Similar to Ak04605259264

High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...IJMER
 
Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...eSAT Journals
 
IRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using VerilogIRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using VerilogIRJET Journal
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...VLSICS Design
 
Belief Propagation Decoder for LDPC Codes Based on VLSI Implementation
Belief Propagation Decoder for LDPC Codes Based on VLSI ImplementationBelief Propagation Decoder for LDPC Codes Based on VLSI Implementation
Belief Propagation Decoder for LDPC Codes Based on VLSI Implementationinventionjournals
 
Ebc7fc8ba9801f03982acec158fa751744ca copie
Ebc7fc8ba9801f03982acec158fa751744ca   copieEbc7fc8ba9801f03982acec158fa751744ca   copie
Ebc7fc8ba9801f03982acec158fa751744ca copieSourour Kanzari
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...IRJET Journal
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...sunda2011
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...Derryck Lamptey, MPhil, CISSP
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic   Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic VLSICS Design
 
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N VLSICS Design
 
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...csandit
 
IRJET- Review Paper on Study of Various Interleavers and their Significance
IRJET- Review Paper on Study of Various Interleavers and their SignificanceIRJET- Review Paper on Study of Various Interleavers and their Significance
IRJET- Review Paper on Study of Various Interleavers and their SignificanceIRJET Journal
 
Iaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd Iaetsd
 
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESijmnct
 

Similar to Ak04605259264 (20)

High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
An optimised multi value logic cell design with new architecture of many val...
An optimised multi value logic cell design with new architecture  of many val...An optimised multi value logic cell design with new architecture  of many val...
An optimised multi value logic cell design with new architecture of many val...
 
Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...
 
IRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using VerilogIRJET- Implementation of Ternary ALU using Verilog
IRJET- Implementation of Ternary ALU using Verilog
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
 
10
1010
10
 
Belief Propagation Decoder for LDPC Codes Based on VLSI Implementation
Belief Propagation Decoder for LDPC Codes Based on VLSI ImplementationBelief Propagation Decoder for LDPC Codes Based on VLSI Implementation
Belief Propagation Decoder for LDPC Codes Based on VLSI Implementation
 
Ebc7fc8ba9801f03982acec158fa751744ca copie
Ebc7fc8ba9801f03982acec158fa751744ca   copieEbc7fc8ba9801f03982acec158fa751744ca   copie
Ebc7fc8ba9801f03982acec158fa751744ca copie
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
 
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
IEEE Final Year Projects 2011-2012 :: Elysium Technologies Pvt Ltd::Paralleld...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...
Achieving Portability and Efficiency in a HPC Code Using Standard Message-pas...
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic   Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic
 
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
 
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...
A FLOATING POINT DIVISION UNIT BASED ON TAYLOR-SERIES EXPANSION ALGORITHM AND...
 
IRJET- Review Paper on Study of Various Interleavers and their Significance
IRJET- Review Paper on Study of Various Interleavers and their SignificanceIRJET- Review Paper on Study of Various Interleavers and their Significance
IRJET- Review Paper on Study of Various Interleavers and their Significance
 
Iaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversibleIaetsd low power high speed vedic multiplier using reversible
Iaetsd low power high speed vedic multiplier using reversible
 
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICESCODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
CODING SCHEMES FOR ENERGY CONSTRAINED IOT DEVICES
 

Recently uploaded

Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfngoud9212
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 

Recently uploaded (20)

Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdf
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 

Ak04605259264

  • 1. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 259 | P a g e Modeling and Implementation of Reliable Ternary Arithmetic and Logic Unit Design Using Vhdl Meruva Kumar Raja* , Neelima Koppala** * P.G Student M.Tech (VLSI), ECE, Sree Vidyanikethan Engineering College (Autonomous), Tirupati. ** Assistant professor M.Tech, ECE, Sree Vidyanikethan Engineering College (Autonomous), Tirupati. ABSTRACT Multivalve logic is a reliable method for defining, analyzing, testing and implementing the basic combinational circuitry with VHDL simulator. It offers better utilization of transmission channels because of its high speed for higher information carried out and it gives more efficient performance. One of the main realizing of the MVL (ternary logic) is that reduces the number of required computation steps, simplicity and energy efficiency in digital logic design. This paper using reliable method is brought out for implementing the basic combinational, sequential and TALU (Ternary Arithmetic and Logic Unit) circuitry with minimum number of ternary switching circuits (Multiplexers). In this the potential of VHDL modelling and simulation that can be applied to ternary switching circuits to verify its functionality and timing specifications. An intention is to show how proposed simulator can be used to simulate MVL circuits and to evaluate system performance. Keywords: Gate count, MVL, reliability- unreliability model, ternary switching levels, VHDL, Xilinx ISE10.1i. I. INTRODUCTION Ternary (3-Valued) logic is operating at 3 switching levels, and it is one of logic in Multi- valued logic or many-value logic. The switch levels of ternary logic are denoted by X may assuming either X0 ,XZ ,X1 where 0,Z,1 signifies logic (voltage) levels per „0‟ as low voltage level corresponding to low level logic (logic-0), „Z‟ corresponding to medium level logic (logic-Z as high impedance also called meta stable state) and „1‟ corresponding to high/maximum level logic (logic-1). Ternary logic based system have more and important advantage and less requiring computation steps than binary. It has high logic capacity than binary then these logic based design of VLSI circuits are more reliable than binary and in order to bring their full potential into many operational circuits. Here possible for ternary logic to achieve simple and energy efficient in digital logic design. I.I Previous Design Details of ternary logic based design by using novel method as multiplexer taking as to build logic blocks [1]. These method based design are more efficient for designing of VLSI circuits. I.II Present Design The present work in this paper deals with the use of Very High-Speed Integrated Circuit Hardware Description Language (VHDL) as a logic simulator for evaluate the performance of ternary logic based circuits. Future day‟s VLSI technology offers ways to realize MVL circuits in order to bring their full potential into many operational levels in circuits. Here presenting a concept to model the ternary combinational ternary arithmetic and logic unit circuits using with minimum number of multiplexers showing the potential and ease in the design of ternary circuits (the multiplexer based designs are also known as novel method for designing of digital circuits) as well as its modelling using Electronic Design Automation (EDA) tool for its performance with respecting to verification of desire functionality of MVL. In this paper Section II reliability and VHDL of ternary algebra. In Section III, Design of Ternary arithmetic and logic unit. Verification and Simulation results of TALU shows in section IV and Conclusion and future work is given in Section V. I.III Benefits These benefits have been shown to be useful for the design of ternary computers, for digital filtering. Ternary representation of admits sign convention also.  Ternary logic is mainly applied in new transforms for encoding and more efficient for compression, error correction, and state assignment, representation of discrete information and in automatic telephony.  Ternary logic also offers greater utilization of transmission channels because of the higher information content carried by every line. It gives exact and more efficient error detection and correction codes and possesses potentially higher density of information storage. RESEARCH ARTICLE OPEN ACCESS
  • 2. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 260 | P a g e I.IV Applications This is the reason for ternary is casting this serial, parallel, serial-parallel and some serial-serial operations can be carried out at higher speed its advantages have been confirmed in the applications field of memory, communication, Machine Learning, Fuzzy logic, Artificial Intelligence, Robotics, Data Mining, Digital signal processing, Digital control systems and Image Processing etc.,. I.V Advantages Ternary algebra is an evident advantage of a ternary representation over than binary is economy of digits. To represent a number in binary system, one needs 62.5% more digits than that of ternary and memory of circuit designing is less than binary.  The main advantage of ternary logic is that it reduces the number of required computation steps for developing digital design.  Furthermore memory, control unit, and processor can be carried out faster if the ternary logic is easily employed and memory utilization also less than binary [2]. For Example: To represent a 20-digit decimal number one requires 40 ternary digits instead of 65 binary digits. II. RELIABILITY AND VHDL FOR TERNARY ALGEBRA II.I Reliability for Ternary Algebra: The Reliability-Unreliability model is sufficient enough to support the decision methodology statistically as shown in Fig. 1 Mathematically, it implies that reliability will be interpreted by the inequality 0≤ R≤1. If R=1, it is interpreted to be absolutely true and if R=0, it is interpreted to be absolutely false. If R being in the vicinity of 0.5 could be interpreted as neither true nor false and above 0.5 is said to be reliability level and below 0.5 is said to be unreliability level. In VLSI circuits‟ designing of basic formula, P= (Vdd C F)/2-----> (1) The equation (1) is applied to ternary logic then the reducing number of logic gates as per representation of computation steps of logic circuits then reducing chip area, chip delay and power utilization. Figure 1: Truth value based on reliability- unreliability model II.II VHDL for Ternary Algebra: Table 1: Nine-State logic system Symbols Values U Uninitialized X Unknown - Don‟t care L Weak 0 H Weak 1 W Weak unknown 0 Logic 0 1 Logic 1 Z High impedance/Meta stable In present work VHDL can be used to model, simulate and describe ternary system where signals inside the circuit can take tri state logic. The present VHDL simulator are only provide to develop and it can be used to synthesize & to verify the performance of ternary logic circuits with the help of technology dependent package called Nine-state StdLogic_1164 package whose levels are listed in Table 1 which allows the description of circuits based on TTL, CMOS, GaAs, NMOS, PMOS and ECL devices. To demonstrate the use of VHDL as a ternary logic simulator, we have used Logic-0 to represent 0 volt, High impendence Z to represent 0.5 volt and Logic-1 to represent 1 volt. VHDL provides an effective way to connect several logic outputs to a single input, where all but one is forced to the high impedance state, allowing the remaining outputs to operate in the normal binary sense [3]. This concept is commonly used for memory bank for connection in computers and other similar devices to a common data bus where a large number of devices can communicate over the same channel, simply by ensuring only one is enabled at a time. III. DESIGN OF TERNARY ALU Figure 2: Block diagram of Ternary ALU In this paper, TALU (ternary arithmetic and logic unit) is develop by using logic unit as overall (complete) logic gates and arithmetic unit for performance of addition, subtraction, multiplication, comparison from adder part, sub tractor part,
  • 3. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 261 | P a g e multiplier, comparator are 3x1 MUX is taken as a basic building block to explore the realization of circuits with minimum number of ternary 3X1 MUX (Multiplexer) and the outputs of two unit parts are represents in 81x1 MUX with techniques of Electronic Design Automation tools. The design concept is implemented based on ternary K-map method for ternary function minimization. Here Design of Realized TALU is based on ternary Multiplexers for logic gates minimization. The block diagram of Ternary ALU is shown in Fig. 2 Here A, B are inputs and carry in, borrow in, A<B, A=B, A>B are cascading inputs (in outs) then getting Sum (S1), Carry out (C out), Subtraction (D0, D1), Borrow (B out), Multiplication (product), C out (Carry), A<B A>B A=B as comparator responses and Logical outputs as responses of ternary basic logic gates [4]. III.I Design of Arithmetic Unit In this paper arithmetic unit consider to follow by some rules, previous paper worked on its design. But here verifying its design, functionality and simulation results are shown in section V. III.I.I Design of Ternary Adder and Ternary Subtractor In this paper considering for addition and subtraction performances should taking 2 bit inputs and in these one are ternary adder circuit that will add 2 ternary full adders and generate outputs Sum0 (S0), Sum1 (S1), Carry out(C out) and Carry in(C in) as inout for ternary full adder 1 to ternary full adder 2. Fig. 3 shows block diagram of ternary adder module. Similarly, Ternary full subtractor circuit that will add 2 ternary full subtractors and generate outputs difference0 (D0), difference1 (D1), borrow out (B out) and borrow in (B in) as in out for ternary full subtractor 1 to ternary full subtractor 2. Fig. 4 shows block diagram of ternary subtractor module. III.I.I.I Design of Full Adder & Full Subtractor using 3x1 Multiplexer A full adder (FA) is a circuit that will add three bits and generates a sum and a carry, Fig. 5 shows the design of FA. Similarly, Full Subtractor (FS) is a circuit that will subtract three bits (i.e., (A1-(B1-Bin)), and generates a difference and borrow. Fig. 6 shows the design of FS. Figure 3: Ternary Adder Figure 4: Ternary Subtractor Figure 5: Block and circuit Diagrams for Ternary Full adder Figure 6: Block and circuit Diagrams for Ternary Full subtractor III.I.II Design of Multiplier using 3x1 Multiplexer In this Multiplier multiplies two bits and generates the product and carry as shown in the structural modelling is design using 3x1 multiplexer in Fig. 7. Figure 7: Block and circuit diagrams for one bit Ternary Multiplier III.I.III Design of Comparator using 3x1 Multiplexer Figure 8: Block and circuit diagram for one bit Ternary comparator In this is a magnitude comparator is a combinational circuit that compares two bits A & B and determines their relative magnitudes. The comparison of two bits is an operation that determines if one number is greater than, less than or
  • 4. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 262 | P a g e equal to other number. The design of 1-bit comparator is shown in Fig. 8. It gives Y=f(A>B) when en=0, Y=f(A=B) when en=1 and Y=f(A<B) when en=2. III.II Design of 3x1 Multiplexer These are multiplexer based designing also known as novel method for Digital logic design. In ternary algebra it is an approach for implementing ternary function is to convert given ternary variable into unary variable using ternary to unary decoder as shown in Fig. 9. A decoder shown in Fig. 10 is a combinational circuit that converts the ternary information from n input lines to a maximum of 3n unique output line and its functionality was verified. Figure 9: Implementation of ternary function Figure 10: Block and Circuit diagram for 1X3 Ternary Decoder Figure 11: Block and circuit diagrams of 3X1 Ternary Multiplexer A ternary multiplexer is a combinational circuit that selects one of the 3n input lines based, on a set of n selection lines and directs it to a single output line. Normally, there are 3n inputs which come from a decoder and n select lines whose bit combinations determine which input to select. The design of 3X1 multiplexer (MUX) is as presented in Fig. 11. III.III Design of Logic Unit Previous papers are developed some of ternary logic gates. But here, to proposed complete logic gates in ternary algebra and these are shown in Fig. 12 and the logic unit performs complete logic gates in ternary algebra. These are analyzing to developing by previous papers. III.IV Design of 81x1 Multiplexer using 3x1 Multiplexer In this project 3x1 MUX is taken as a basic building block to explore the realization of 81x1 MUX in which we have the four selection lines and Fig. 13 shows the 81x1 MUX. This is using for representing the outputs of ternary arithmetic and logic unit. Figure 12: Symbols for basic ternary logic gates Figure 13: Block diagram of 81x1Ternary Multiplexer
  • 5. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 263 | P a g e III.V Utilization of Reliable TALU Table 2: Utilization levels of TALU Logic utilization Used Available Utilization Number of 4 input LUTs 76 9,312 0% Number of occupied Slices 42 4,656 0% Number of bonded IOBs 88 232 37% Delay 11.359ns Delay for Logic 7.891ns 11.359ns 69.50% Delay for Route 3.468ns 11.359ns 30.50% Total Memory usage 150212KB Graph 1: Utilization of reliable TALU Here calculating device utilization level in terms of percentage and time taken execution (delay) for the outputs also delay for logic and routing as shown in Table 2. In above table represents details for calculation utilization of reliable TALU and graph1 is shows the representation of utilization of reliable TALU. IV. SIMULATION RESULTS FOR TERNARY ALU The proposal design of Ternary Arithmetic and Logic unit (TALU) is verifying by its functionality using Xilinx ISE 10.1i, ISIM simulator. The package of ternary types is developed by using previous paper [5]. Here A, B and C in giving inputs are to verifying functionality of Ternary basic logic gates as A, B is („z‟ „0‟) and („z„ ‟0‟) then getting response at the current simulation time 300ns subtractor part of D0, D1and B1 responses are „z‟, „1‟ and „z‟ respectively as shown in Fig. 14. Figure 14: Simulation results of Ternary Arithmetic and Logic Unit
  • 6. Meruva Kumar Raja et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 6( Version 5), June 2014, pp.259-264 www.ijera.com 264 | P a g e V. CONCLUSION Ternary logic based design are having higher information carrying capacity than binary. Thus, ternary logic gate design technique also provides an excellent speed and power consumption characteristics in data path circuit such as full subtractor and full adder. Ternary logic provides means of increasing data processing capability per unit chip area. The main advantages of ternary logic are that it reduces the number of required computation steps. The number of digits required in a ternary family is log32 times less than that required in binary logic. In this paper, reliable TALU (Ternary Arithmetic Logic Unit) are designed with minimum number of ternary multiplexers. In these work confirmed to say ternary logic based designs are having less memory, power and delay than binary for addition, subtraction, multiplication and comparisons are should involving number of operations to verifying the functionality. But in binary logic based those operation should perform simply only one operation having more memory and slight greater delay. In this proposed work developed complete ternary algebra basic logic gates, 81X1 multiplexer and also synthesizes delay for logic and routing of TALU, device utilization. VHDL simulator has been used to simulate Ternary logic based Systems which provide enough information to verify functionality and timing specifications. VI. ACKNOWLEDGEMENT The authors would like to thank Ms. Neelema Koppala, Department of ECE for her valuable guidance. REFERENCES [1]. Sweta Giri, Mrs. N. Saraswathi. “Implementation of combinational circuits using ternary multiplexer”, IJCER, Mar-Apr 2012 Vol. 2, No. 2, pp457- 463. [2]. S.L. Hurst, “Multi-valued logic - Its status and its future”, IEEE Trans. On Computers, vol. C-33, 1984, pp. 1160-1179. [3]. A.P. Dhande, R.C. Jaiswal, S.S Dudam. “Ternary Logic Simulator using VHDL”, SETIT 2007 TUNISIA, March 25-29, pp1- 6. [4]. A.P. Dhande, V.T. Ingole. “Design and implementation of 2-bit Ternary ALU Slice”, SETIT 2005 TUNISIA, March 17- 21. [5]. C. Rozon, “On the Use of VHDL as a Multi- Valued Logic Simulator”, ISMVL‟96, IEEE, 1996, pp.110-115. BIOGRAPHIES Mr. Meruva Kumar Raja received the B.Tech. degree in 2012 in Electrical and Electronics Engineering from K.O.R.M College of Engineering. He is presently pursuing M.Tech in VLSI in Sree Vidyanikethan Engineering College (Autonomous), Tirupati and would graduate in the year 2014. His research interests include Digital Logic Design, VLSI and FPGA. Ms. Neelima Koppala, M.Tech., is currently working as an Assistant Professor in ECE Department of Sree Vidyanikethan Engineering College (Autonomous), Tirupati. She has completed M.Tech in VLSI Design, in Satyabhama University and received B.Tech from Sree Vidyanikethan Engineering College, Tirupati. Her research areas are RFIC Design, Digital Design and VLSI Signal Processing.