SlideShare a Scribd company logo
DETAILED ROUTING ALGORITHMS FOR
ADVANCED TECHNOLOGY NODES
ABSTRACT:
We present algorithms for routing in advanced technology nodes, used by
BonnRoute (BR) to obtain efficient and almost design rule clean wire packings and pin access
solutions. Designs with dense standard cell libraries in presence of complex industrial design
rules, with a special focus on multiple patterning lithography are considered. The key
components of this approach are a multilabel interval-based shortest path algorithm for long on-
track connections, and a dynamic program for computing packings of pin access paths and short
connections between closely spaced pins. The multilabel path search implementation is very
general and is driven with different labeling rules, allowing to trade-off runtime against accuracy
in terms of obeyed design rules. We combine BR with an industrial router for cleaning up the
remaining design rule violations, and demonstrate superior results over that industrial router in
our experiments in terms of wire length, number of vias, design rule violations, and runtime.

More Related Content

What's hot

11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
Pvrtechnologies Nellore
 
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
ijtsrd
 
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
TELKOMNIKA JOURNAL
 
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
IRJET Journal
 
Active rfid trilateration for indoor positioning
Active rfid trilateration for indoor positioningActive rfid trilateration for indoor positioning
Active rfid trilateration for indoor positioning
JOSE ESPEJO VASQUEZ
 
25GbE Cabling vs 40GbE Cabling
25GbE Cabling vs 40GbE Cabling25GbE Cabling vs 40GbE Cabling
25GbE Cabling vs 40GbE Cabling
Jo Wang
 

What's hot (6)

11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
11 an-efficient-vlsi-architecture-of-a-reconfigurable-pulse-shaping-fir-inter...
 
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
Performance Analysis of V-Blast MIMO System Using Minimum Mean Square Error E...
 
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
Integrating millimeter wave with hybrid precoding multiuser massive MIMO for ...
 
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
A survey of Adaptive Beamforming Strategy in Smart Antenna for Mobile Communi...
 
Active rfid trilateration for indoor positioning
Active rfid trilateration for indoor positioningActive rfid trilateration for indoor positioning
Active rfid trilateration for indoor positioning
 
25GbE Cabling vs 40GbE Cabling
25GbE Cabling vs 40GbE Cabling25GbE Cabling vs 40GbE Cabling
25GbE Cabling vs 40GbE Cabling
 

Similar to Detailed routing algorithms for advanced technology nodes

FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSFPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
IAEME Publication
 
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSFPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
IAEME Publication
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET Journal
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
eSAT Publishing House
 
Vlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpgaVlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpga
eSAT Publishing House
 
Vlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpgaVlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpga
eSAT Journals
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip Router
IRJET Journal
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
IJERD Editor
 
A NOVEL ROBUST ROUTER ARCHITECTURE
A NOVEL ROBUST ROUTER ARCHITECTURE A NOVEL ROBUST ROUTER ARCHITECTURE
A NOVEL ROBUST ROUTER ARCHITECTURE
IJERA Editor
 
Vlsi 2015 2016 ieee project list-(v)_with abstract
Vlsi 2015 2016 ieee project list-(v)_with abstractVlsi 2015 2016 ieee project list-(v)_with abstract
Vlsi 2015 2016 ieee project list-(v)_with abstract
S3 Infotech IEEE Projects
 
A New Bit Split and Interleaved Channel Coding for MIMO Decoder
A New Bit Split and Interleaved Channel Coding for MIMO DecoderA New Bit Split and Interleaved Channel Coding for MIMO Decoder
A New Bit Split and Interleaved Channel Coding for MIMO Decoder
IJARBEST JOURNAL
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
IRJET Journal
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
IJERD Editor
 
A new algorithm to improve the sharing of bandwidth
A new algorithm to improve the sharing of bandwidthA new algorithm to improve the sharing of bandwidth
A new algorithm to improve the sharing of bandwidth
International Journal of Science and Research (IJSR)
 
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
Editor IJMTER
 
Ek31903907
Ek31903907Ek31903907
Ek31903907
IJERA Editor
 
Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...
IJECEIAES
 
Routing protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation StudyRouting protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation Study
IOSR Journals
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
IJERD Editor
 

Similar to Detailed routing algorithms for advanced technology nodes (20)

FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSFPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
 
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSFPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMS
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
 
Vlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpgaVlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpga
 
Vlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpgaVlsi design process for low power design methodology using reconfigurable fpga
Vlsi design process for low power design methodology using reconfigurable fpga
 
Design and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip RouterDesign and Performance Analysis of 8 x 8 Network on Chip Router
Design and Performance Analysis of 8 x 8 Network on Chip Router
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
A NOVEL ROBUST ROUTER ARCHITECTURE
A NOVEL ROBUST ROUTER ARCHITECTURE A NOVEL ROBUST ROUTER ARCHITECTURE
A NOVEL ROBUST ROUTER ARCHITECTURE
 
Vlsi 2015 2016 ieee project list-(v)_with abstract
Vlsi 2015 2016 ieee project list-(v)_with abstractVlsi 2015 2016 ieee project list-(v)_with abstract
Vlsi 2015 2016 ieee project list-(v)_with abstract
 
A New Bit Split and Interleaved Channel Coding for MIMO Decoder
A New Bit Split and Interleaved Channel Coding for MIMO DecoderA New Bit Split and Interleaved Channel Coding for MIMO Decoder
A New Bit Split and Interleaved Channel Coding for MIMO Decoder
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
A new algorithm to improve the sharing of bandwidth
A new algorithm to improve the sharing of bandwidthA new algorithm to improve the sharing of bandwidth
A new algorithm to improve the sharing of bandwidth
 
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
 
Ek31903907
Ek31903907Ek31903907
Ek31903907
 
Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...
 
Routing protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation StudyRouting protocols in Ad-hoc Networks- A Simulation Study
Routing protocols in Ad-hoc Networks- A Simulation Study
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 

More from I3E Technologies

Add
AddAdd
Design of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulatorDesign of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulator
I3E Technologies
 
An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...
I3E Technologies
 
Aging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logicAging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logic
I3E Technologies
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...
I3E Technologies
 
A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...
I3E Technologies
 
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fftA combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
I3E Technologies
 
Reverse converter design via parallel prefix adders novel components, method...
Reverse converter design via parallel prefix adders  novel components, method...Reverse converter design via parallel prefix adders  novel components, method...
Reverse converter design via parallel prefix adders novel components, method...
I3E Technologies
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
I3E Technologies
 
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
I3E Technologies
 
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
I3E Technologies
 
Ultrasparse ac link converters
Ultrasparse ac link convertersUltrasparse ac link converters
Ultrasparse ac link converters
I3E Technologies
 
Single inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converterSingle inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converter
I3E Technologies
 
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
I3E Technologies
 
Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...
I3E Technologies
 
Reliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost convertersReliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost converters
I3E Technologies
 
Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...
I3E Technologies
 
Pfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drivePfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drive
I3E Technologies
 
Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...
I3E Technologies
 
Online variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverterOnline variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverter
I3E Technologies
 

More from I3E Technologies (20)

Add
AddAdd
Add
 
Design of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulatorDesign of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulator
 
An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...
 
Aging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logicAging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logic
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...
 
A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...
 
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fftA combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
 
Reverse converter design via parallel prefix adders novel components, method...
Reverse converter design via parallel prefix adders  novel components, method...Reverse converter design via parallel prefix adders  novel components, method...
Reverse converter design via parallel prefix adders novel components, method...
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
 
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
 
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
 
Ultrasparse ac link converters
Ultrasparse ac link convertersUltrasparse ac link converters
Ultrasparse ac link converters
 
Single inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converterSingle inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converter
 
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
 
Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...
 
Reliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost convertersReliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost converters
 
Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...
 
Pfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drivePfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drive
 
Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...
 
Online variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverterOnline variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverter
 

Recently uploaded

2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
Yasser Mahgoub
 
People as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimalaPeople as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimala
riddhimaagrawal986
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
bijceesjournal
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
IJECEIAES
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
171ticu
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
UReason
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
RamonNovais6
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
bjmsejournal
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
abbyasa1014
 
Material for memory and display system h
Material for memory and display system hMaterial for memory and display system h
Material for memory and display system h
gowrishankartb2005
 
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
IJECEIAES
 
Certificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi AhmedCertificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi Ahmed
Mahmoud Morsy
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
Madan Karki
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
kandramariana6
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
Software Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.pptSoftware Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.ppt
TaghreedAltamimi
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
CEC 352 - SATELLITE COMMUNICATION UNIT 1
CEC 352 - SATELLITE COMMUNICATION UNIT 1CEC 352 - SATELLITE COMMUNICATION UNIT 1
CEC 352 - SATELLITE COMMUNICATION UNIT 1
PKavitha10
 

Recently uploaded (20)

2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
 
People as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimalaPeople as resource Grade IX.pdf minimala
People as resource Grade IX.pdf minimala
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
 
Design and optimization of ion propulsion drone
Design and optimization of ion propulsion droneDesign and optimization of ion propulsion drone
Design and optimization of ion propulsion drone
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
 
Material for memory and display system h
Material for memory and display system hMaterial for memory and display system h
Material for memory and display system h
 
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...
 
Certificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi AhmedCertificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi Ahmed
 
spirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptxspirit beverages ppt without graphics.pptx
spirit beverages ppt without graphics.pptx
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
Software Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.pptSoftware Quality Assurance-se412-v11.ppt
Software Quality Assurance-se412-v11.ppt
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
CEC 352 - SATELLITE COMMUNICATION UNIT 1
CEC 352 - SATELLITE COMMUNICATION UNIT 1CEC 352 - SATELLITE COMMUNICATION UNIT 1
CEC 352 - SATELLITE COMMUNICATION UNIT 1
 

Detailed routing algorithms for advanced technology nodes

  • 1. DETAILED ROUTING ALGORITHMS FOR ADVANCED TECHNOLOGY NODES ABSTRACT: We present algorithms for routing in advanced technology nodes, used by BonnRoute (BR) to obtain efficient and almost design rule clean wire packings and pin access solutions. Designs with dense standard cell libraries in presence of complex industrial design rules, with a special focus on multiple patterning lithography are considered. The key components of this approach are a multilabel interval-based shortest path algorithm for long on- track connections, and a dynamic program for computing packings of pin access paths and short connections between closely spaced pins. The multilabel path search implementation is very general and is driven with different labeling rules, allowing to trade-off runtime against accuracy in terms of obeyed design rules. We combine BR with an industrial router for cleaning up the remaining design rule violations, and demonstrate superior results over that industrial router in our experiments in terms of wire length, number of vias, design rule violations, and runtime.