SlideShare a Scribd company logo
1 of 1
ZEYU BU Phone: (737) 834 1792 | Email:zeyubu@umich.edu
Address: 1654 Mcintyre Drive, Ann Arbor, Michigan,48105
OBJECTIVE
Seeking an fulltimeposition as an electrical engineer with a specialization in digital circuitsdesign and verification
EDUCATION
Univer sit y O f Mich igan , An n Ar bo r - Master in Electrical Engineering - Overall GPA: 4.0 - Sept 2014 - Apr 2016
● Courses:VLSI DesignI (427), Computer Architecture (470), Logic Circuit Synthesis& Optimization(478), Digital Integration
Technology(523), Digital System Testing (579).
Sh angh ai Jiao To ng Un ive rsity - Bachelor in Electrical Engineering - Overall GPA: 3.61 - Sept 2010 - July 2014
● Courses: Digital System Simulation& VHDL Design, Embedded SystemTheory& Lab, RF Circuit Design, Analog Electronics
Technology, Digital Electronics Technology.
WORK EXPERIENCE
Inter im En gineer - QualcommTechnologies, Inc.- San Diego, CA - May 2015 - Present
● Got familiar withthe flowof Power Analysis andStatic Timing Analysis byPrime Time, PX(PTPX) and Prime Time, SI (PTSI)
● Used PTPX to analyze the power inanalog and digitalcircuits of DDR PHY
● Run Layout Parasitic Extraction (LPE) byCadence andusedthe result to doSPICE power simulation
● Wrote TCL and Perl to get the Wire VS Cell power byPTPXand SPICE, thencorrelated the result
RESEARCH AND PROJECTS
RTL Design of R10k OoO Microproce ssor with 2-Way Superscalar & Simultaneou s Multithreading
● Designedinstruction cache with prefetching and2-wayassociative non-blocking data cache with victimcache
● Used LocalBranchPredictor, Branch Target Buffer (BTB) and Return Address Stackto reduce the branchmispredictionrate
● Used Load& Store Queue (LSQ)to enable data forwardingand out-of-order load execution
● Achieved average CPI of 1.6 andclock periodof 8.2 ns, whichis the best inthe class
Layo ut Le vel De sign of a Lo w P ower 8 T SRA M
● Got familiar withSRAMdesign and low power technologies.
● Appliedclock gating, innovative Dynamic Voltage Scaling(DVS) mechanism and read process to reduce power consumption
● Assembledan8 Kb 8T SRAMwith memorycell, amplifier and controller
Layout Level Design of a 16 -bit RISC Microproce ssor
● Got familiar withCMOS fabrication technology, NCVerilog and HSPICE simulation
● Finished the schematic and layout designof Register File, Arithmetic Logic Unit, Shifter
● SynthesizedbehavioralVerilogand used automatic placement androuting (APR)with Encounter to generate Program Counter,
Decoder andController
● Assembleda 16 bit pipelined RISCmicroprocessor
Fast Simulation Method of VLSI Interconnect System
● Verifiedthe feasibilityof interconnect temperature distributionandcrosstalkmodels
● Originallyusedthe Laguerre basis functions to better solve heat diffusion equations
● AppliedFDTDandLIMto simulate the voltage and temperature ofinterconnect system
SKILLS
Language: Verilog, VHDL, Perl,Bash,Matlab, C, C++, Java,Python
Application: Cadence, SPICE, Altium Designer, ADS
OS: Windows,Linux, UNIX, Mac OS X

More Related Content

What's hot

Device Data Directory and Asynchronous execution: A path to heterogeneous com...
Device Data Directory and Asynchronous execution: A path to heterogeneous com...Device Data Directory and Asynchronous execution: A path to heterogeneous com...
Device Data Directory and Asynchronous execution: A path to heterogeneous com...LEGATO project
 
Ponniranjan fulltime
Ponniranjan fulltimePonniranjan fulltime
Ponniranjan fulltimePon Niranjan
 
New_resume_v2
New_resume_v2New_resume_v2
New_resume_v2Keyang Fu
 

What's hot (9)

Srinivas_Kotha_CV
Srinivas_Kotha_CVSrinivas_Kotha_CV
Srinivas_Kotha_CV
 
vinit_munishwar
vinit_munishwarvinit_munishwar
vinit_munishwar
 
Module 5: CPLD & FPGA
Module 5: CPLD & FPGAModule 5: CPLD & FPGA
Module 5: CPLD & FPGA
 
Device Data Directory and Asynchronous execution: A path to heterogeneous com...
Device Data Directory and Asynchronous execution: A path to heterogeneous com...Device Data Directory and Asynchronous execution: A path to heterogeneous com...
Device Data Directory and Asynchronous execution: A path to heterogeneous com...
 
Resume_NishadSabnis
Resume_NishadSabnisResume_NishadSabnis
Resume_NishadSabnis
 
BFunsten_Resume
BFunsten_ResumeBFunsten_Resume
BFunsten_Resume
 
Ponniranjan fulltime
Ponniranjan fulltimePonniranjan fulltime
Ponniranjan fulltime
 
BFunsten_Resume
BFunsten_ResumeBFunsten_Resume
BFunsten_Resume
 
New_resume_v2
New_resume_v2New_resume_v2
New_resume_v2
 

Similar to resume 3.0

Resume_Mahadevan_new (2)
Resume_Mahadevan_new (2)Resume_Mahadevan_new (2)
Resume_Mahadevan_new (2)Mahadevan N
 
Resume_Aney N Khatavkar
Resume_Aney N KhatavkarResume_Aney N Khatavkar
Resume_Aney N KhatavkarAney Khatavkar
 
Carson Lin_Resume
Carson Lin_ResumeCarson Lin_Resume
Carson Lin_ResumeCarson Lin
 
Rahul network resume copy
Rahul  network resume   copyRahul  network resume   copy
Rahul network resume copyRahul patil
 
Rahul network resume
Rahul  network resume  Rahul  network resume
Rahul network resume Rahul patil
 
Rahul network resume copy
Rahul  network resume   copyRahul  network resume   copy
Rahul network resume copyRahul patil
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangaloreProfessional Training Institute
 
Performance Characterization and Optimization of In-Memory Data Analytics on ...
Performance Characterization and Optimization of In-Memory Data Analytics on ...Performance Characterization and Optimization of In-Memory Data Analytics on ...
Performance Characterization and Optimization of In-Memory Data Analytics on ...Ahsan Javed Awan
 
Zhou Yu Resume
Zhou Yu ResumeZhou Yu Resume
Zhou Yu ResumeZHOU YU
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
resume_aditya_gujja_03
resume_aditya_gujja_03resume_aditya_gujja_03
resume_aditya_gujja_03Aditya Gujja
 

Similar to resume 3.0 (20)

Shubhankar pawade resume
Shubhankar pawade resumeShubhankar pawade resume
Shubhankar pawade resume
 
Resume_Mahadevan_new (2)
Resume_Mahadevan_new (2)Resume_Mahadevan_new (2)
Resume_Mahadevan_new (2)
 
Darren Jiao_resume
Darren Jiao_resumeDarren Jiao_resume
Darren Jiao_resume
 
Resume_Aney N Khatavkar
Resume_Aney N KhatavkarResume_Aney N Khatavkar
Resume_Aney N Khatavkar
 
Carson Lin_Resume
Carson Lin_ResumeCarson Lin_Resume
Carson Lin_Resume
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Rahul network resume copy
Rahul  network resume   copyRahul  network resume   copy
Rahul network resume copy
 
Rahul network resume
Rahul  network resume  Rahul  network resume
Rahul network resume
 
Rahul network resume copy
Rahul  network resume   copyRahul  network resume   copy
Rahul network resume copy
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in Bangalore
 
Neeraj Resume
Neeraj ResumeNeeraj Resume
Neeraj Resume
 
Gagan_Resume
Gagan_ResumeGagan_Resume
Gagan_Resume
 
Chadalavada, Divya Sai
Chadalavada, Divya SaiChadalavada, Divya Sai
Chadalavada, Divya Sai
 
Manoj_Resume
Manoj_ResumeManoj_Resume
Manoj_Resume
 
Performance Characterization and Optimization of In-Memory Data Analytics on ...
Performance Characterization and Optimization of In-Memory Data Analytics on ...Performance Characterization and Optimization of In-Memory Data Analytics on ...
Performance Characterization and Optimization of In-Memory Data Analytics on ...
 
Zhou Yu Resume
Zhou Yu ResumeZhou Yu Resume
Zhou Yu Resume
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
CV_Akhil Ranga
CV_Akhil RangaCV_Akhil Ranga
CV_Akhil Ranga
 
Resume
ResumeResume
Resume
 
resume_aditya_gujja_03
resume_aditya_gujja_03resume_aditya_gujja_03
resume_aditya_gujja_03
 

resume 3.0

  • 1. ZEYU BU Phone: (737) 834 1792 | Email:zeyubu@umich.edu Address: 1654 Mcintyre Drive, Ann Arbor, Michigan,48105 OBJECTIVE Seeking an fulltimeposition as an electrical engineer with a specialization in digital circuitsdesign and verification EDUCATION Univer sit y O f Mich igan , An n Ar bo r - Master in Electrical Engineering - Overall GPA: 4.0 - Sept 2014 - Apr 2016 ● Courses:VLSI DesignI (427), Computer Architecture (470), Logic Circuit Synthesis& Optimization(478), Digital Integration Technology(523), Digital System Testing (579). Sh angh ai Jiao To ng Un ive rsity - Bachelor in Electrical Engineering - Overall GPA: 3.61 - Sept 2010 - July 2014 ● Courses: Digital System Simulation& VHDL Design, Embedded SystemTheory& Lab, RF Circuit Design, Analog Electronics Technology, Digital Electronics Technology. WORK EXPERIENCE Inter im En gineer - QualcommTechnologies, Inc.- San Diego, CA - May 2015 - Present ● Got familiar withthe flowof Power Analysis andStatic Timing Analysis byPrime Time, PX(PTPX) and Prime Time, SI (PTSI) ● Used PTPX to analyze the power inanalog and digitalcircuits of DDR PHY ● Run Layout Parasitic Extraction (LPE) byCadence andusedthe result to doSPICE power simulation ● Wrote TCL and Perl to get the Wire VS Cell power byPTPXand SPICE, thencorrelated the result RESEARCH AND PROJECTS RTL Design of R10k OoO Microproce ssor with 2-Way Superscalar & Simultaneou s Multithreading ● Designedinstruction cache with prefetching and2-wayassociative non-blocking data cache with victimcache ● Used LocalBranchPredictor, Branch Target Buffer (BTB) and Return Address Stackto reduce the branchmispredictionrate ● Used Load& Store Queue (LSQ)to enable data forwardingand out-of-order load execution ● Achieved average CPI of 1.6 andclock periodof 8.2 ns, whichis the best inthe class Layo ut Le vel De sign of a Lo w P ower 8 T SRA M ● Got familiar withSRAMdesign and low power technologies. ● Appliedclock gating, innovative Dynamic Voltage Scaling(DVS) mechanism and read process to reduce power consumption ● Assembledan8 Kb 8T SRAMwith memorycell, amplifier and controller Layout Level Design of a 16 -bit RISC Microproce ssor ● Got familiar withCMOS fabrication technology, NCVerilog and HSPICE simulation ● Finished the schematic and layout designof Register File, Arithmetic Logic Unit, Shifter ● SynthesizedbehavioralVerilogand used automatic placement androuting (APR)with Encounter to generate Program Counter, Decoder andController ● Assembleda 16 bit pipelined RISCmicroprocessor Fast Simulation Method of VLSI Interconnect System ● Verifiedthe feasibilityof interconnect temperature distributionandcrosstalkmodels ● Originallyusedthe Laguerre basis functions to better solve heat diffusion equations ● AppliedFDTDandLIMto simulate the voltage and temperature ofinterconnect system SKILLS Language: Verilog, VHDL, Perl,Bash,Matlab, C, C++, Java,Python Application: Cadence, SPICE, Altium Designer, ADS OS: Windows,Linux, UNIX, Mac OS X