SlideShare a Scribd company logo
1 of 59
P R E P A R E D B Y
V . T H A M I Z H A R A S A N
A S S I S T A N T P R O F E S S O R
D E P A R T M E N T O F E C E
E R O D E S E N G U N T H A R E N G I N E E R I N G
C O L L E G E
Sequential circuit design
1
11: Sequential Circuits
Sequencing static circuits
11: Sequential Circuits
2
 Combinational logic
 output depends only on current inputs
 Sequential logic
 output depends on current and previous inputs
 Requires flip flop or latch(memory element )=>hold the data
called tokens or state
 Ex: FSM, pipeline
CL
clk
in out
clk clk clk
CL CL
PipelineFinite State Machine
Sequencing Cont.
11: Sequential Circuits
3
 If tokens moved through pipeline at constant speed,
no sequencing elements will be needed
 Ex: fiber-optic cable
 Light pulses (tokens) are sent down cable
 Next pulse sent before first reaches end of cable
 No need for hardware to separate pulses
 But dispersion sets min time between pulses
 This is called wave pipelining in circuits
 In most circuits, dispersion is high
 Delay fast tokens so they don’t catch slow ones.
Sequencing Overhead
11: Sequential Circuits
4
 We need to delay fast tokens, so that they don't catch
up with slow tokens
 Use flip-flops to delay fast tokens so they move
through exactly one stage each cycle.
 Inevitably adds some delay to the slow tokens
 Makes circuit slower than just the logic delay
 Called sequencing overhead or clocking overhead
Sequencing Methods
11: Sequential Circuits
5
 Flip-flops
 2-Phase Latches
 Pulsed Latches
Flip-Flops
FlopLatch
Flop
clk
1
2
p
clk clk
Latch
Latch
p
p
1
1
2
2-PhaseTransparentLatchesPulsedLatches
Combinational Logic
Combinational
Logic
Combinational
Logic
Combinational Logic
Latch
Latch
Tc
Tc
/2
tnonoverlap
tnonoverlap
tpw
Half-Cycle 1 Half-Cycle 1
Timing Diagrams
11: Sequential Circuits
6
Flop
A
Y
tpd
Combinational
Logic
A Y
D Q
clk clk
D
Q
Latch
D Q
clk
clk
D
Q
tcd
tsetup thold
tccq
tpcq
tccq
tsetup
thold
tpcq
tpdq
tcdq
tpd
Logic Propagation Delay
tcd
Logic Cont. Delay
tpcq
Latch/Flop Clk->Q Prop. Delay
tccq
Latch/Flop Clk->Q Cont. Delay
tpdq
Latch D->Q Prop. Delay
tcdq
Latch D->Q Cont. Delay
tsetup
Latch/Flop Setup Time
thold
Latch/Flop Hold Time
Contamination and
Propagation Delays
Max-Delay: Flip-Flops
11: Sequential Circuits
7
F1
F2
clk
clk clk
Combinational Logic
Tc
Q1 D2
Q1
D2
tpd
tsetup
tpcq
 setup
sequencing overhead
pd c pcqt T t t  
If combinational logic delay
high
mismatch the setup and
hold time, samples the
wrong value
setup time failure or MAX -
delay failure
Solved by => changing the combinational logic to faster or increasing the clock
period
TC≥tpcq+tpd+tsetup
Max Delay: 2-Phase Latches
11: Sequential Circuits
8
Tc
Q1
L1
1
2
L2
L3
1
1
2
Combinational
Logic 1
Combinational
Logic 2
Q2 Q3D1 D2 D3
Q1
D2
Q2
D3
D1
tpd1
tpdq1
tpd2
tpdq2
TC≥tpdq1+tpd1+ tpdq2+tpd2
Tpd= tpd1+ tpd2≤ TC-(2tpdq)
Sequencing
overhead
Max Delay: Pulsed Latches
11: Sequential Circuits
9
Tc
Q1 Q2D1 D2
Q1
D2
D1
p
p
p
Combinational Logic
L1
L2
tpw
(a) tpw
> tsetup
Q1
D2
(b) tpw
< tsetup
Tc
tpd
tpdq
tpcq
tpd
tsetup
 setup
sequencing overhead
max ,pd c pdq pcq pwt T t t t t   
TC≥ max(tpdq+tpd,tpcq+tpd+tsetup-tpw)
Min-Delay: Flip-Flops
11: Sequential Circuits
10
holdcd ccqt t t 
CL
clk
Q1
D2
F1
clk
Q1
F2
clk
D2
tcd
thold
tccq
If hold time is large &
the contamination delay small .
The data can incorrectly propagate
through two successive elements
on clock edge
This called race condition ,hold
time failure or min delay faliure
It can only be fixed by
redesigning the logic ,not by
slowing the clock.
Min-Delay: 2-Phase Latches
11: Sequential Circuits
11
1, 2 hold nonoverlapcd cd ccqt t t t t  
CL
Q1
D2
D2
Q1
1
L1
2
L2
1
2
tnonoverlap
tcd
thold
tccq
Hold time reduced by
nonoverlap time sufficiently
large
Paradox: latch seems to
require twice the overall
logic contamination delay
as compared to FF
Min-Delay: Pulsed Latches
11: Sequential Circuits
12
holdcd ccq pwt t t t  
CL
Q1
D2
Q1
D2
p tpw
p
L1
p
L2
tcd
thold
tccq
Hold time=> increased by
pulse width
Time Borrowing
11: Sequential Circuits
13
 In a flop-based system:
 Data leave on rising edge
 Must setup before next rising edge
 If it arrives late, system fails
 If it arrives early, time is wasted
 Flops have hard edges– sharply define the cycle.
 In a latch-based system
 Data leave on first rising edge
 But does not have setup until falling edge of the clock on the receiving
latch
 Long cycle of logic can borrow time into next half cycle or stage
 loops may borrow time internally but must complete within the cycle
completes in one cycle.
Time Borrowing Example
11: Sequential Circuits
14
Latch
Latch
Latch
Combinational Logic
Combinational
Logic
Borrowing time across
half-cycle boundary
Borrowing time across
pipeline stage boundary
(a)
(b)
Latch
LatchCombinational Logic
Combinational
Logic
Loops may borrow time internally but must complete within the cycle
1
2
1
1
1
2
2
How Much Borrowing?
11: Sequential Circuits
15
Q1
L1
1
2
L2
1
2
Combinational Logic 1
Q2D1 D2
D2
Tc
Tc
/2
Nominal Half-Cycle 1 Delay
tborrow
tnonoverlap
tsetup
 borrow setup nonoverlap
2
cT
t t t  
2-Phase Latches
borrow setuppwt t t 
Pulsed Latches
Benefits of time borrowing
 Intentional time borrowing
Designer can more easily balance logic between half cycles and
pipeline stage.
Balancing can take place during the circuit style rather than change in
the micro architecture.
 Opportunistic time borrowing
Designer carefully equalize the delay in each stage at design time .
delays can differ from one stage to other in fabricated chip due to
environmental and process variation.
time borrowing => the slow cycles can opportunistically borrow time
from faster ones .
16
11: Sequential Circuits
Clock Skew
11: Sequential Circuits
17
 clock skew (TSkew)
is the difference in the arrival time
between two sequentially-adjacent
registers.
 Clocks really have uncertainty in
arrival time
 Decreases maximum propagation
delay
 Increases minimum contamination
delay
 Decreases time borrowing
Another example
tsu
th
Q0 Q0
reg tpd
clk0

clk1
clk0
clk1
tskew
18
11: Sequential Circuits
Skew: Flip-Flops
11: Sequential Circuits
19
F1
F2
clk
clk clk
Combinational Logic
Tc
Q1 D2
Q1
D2
tskew
CL
Q1
D2
F1
clk
Q1
F2
clk
D2
clk
tskew
tsetup
tpcq
tpdq
tcd
thold
tccq
 setup skew
sequencing overhead
hold skew
pd c pcq
cd ccq
t T t t t
t t t t
   
  
Skew: Latches
11: Sequential Circuits
20
Q1
L1
1
2
L2
L3
1
1
2
Combinational
Logic 1
Combinational
Logic 2
Q2 Q3D1 D2 D3
 
 
sequencing overhead
1 2 hold nonoverlap skew
borrow setup nonoverlap skew
2
,
2
pd c pdq
cd cd ccq
c
t T t
t t t t t t
T
t t t t
 
   
   
2-Phase Latches
 
 
setup skew
sequencing overhead
hold skew
borrow setup skew
max ,pd c pdq pcq pw
cd pw ccq
pw
t T t t t t t
t t t t t
t t t t
    
   
  
Pulsed Latches
Circuit design of latches and flip flops
 Conventional CMOS latches =>built using
pass transistor or tristate buffer
1. Very simple transparent latch=> using single
transistor
 But the output does not swing rail to rail
 It never rise above vdd-vt
 The output floats when the latch is opaque. also
long time opaque means suffers from leakage
D Q

21
11: Sequential Circuits
Cont.,
2.Using CMOS transmission gate:
 To offer full rail to rail output.
 It requires complementary clock.
3. Adds output as an inverter.
 So that the state node x as an inverter
isolated from the noise
4.Buffered input:
D Q


D


X
Q
D Q


C2MOS
structure
22
11: Sequential Circuits
Cont.,
 Modern process ,sub threshold
leakage is large enough that
dynamic nodes retain their values
for only short time.
 Practical latches need to be
staticized =>adding feedback to
prevent the output from floating
 But create a noise at output due to
feedback




QD
X


QD
X


23
11: Sequential Circuits
Cont.,
Very robust transparent latch:
 All nodes produces full rail output
 Static noise isolated from the output noise
Robust transparent latch with
inverting output


Q
D
X






Q
D
X
Jamb latch:
Reduce the clock load, save two
transistor by using weak
inverter in place of tri state.
24
11: Sequential Circuits
Conventional CMOS flip-flops
 Dynamic FF from
back to back dynamic
latches
D Q




X
D




X
Q
Q




25
11: Sequential Circuits
Transmission gate and NORA dynamic flip flop
 Φ falls ,both the clock and its complement are
momentarily low .
 If the skew is too large=> leading to incorrect operation.
26
11: Sequential Circuits
Two phase non overlapping clocks
27
11: Sequential Circuits
Reset
 Synchronous reset :
synchronous reset signal will only affect or reset the
state of the flip-flop on the active edge of the clock.
 Asynchronous reset :
An asynchronous reset will affect or reset the state of
the flip-flop asynchronously i.e. no matter what the
clock signal is.
28
11: Sequential Circuits
Resettable latches and flip flop
D




Q
Q




reset
D






Q

D
reset


Q


D
reset
reset


reset
SynchronousResetAsynchronousResetSymbol
Flop
D Q
LatchD Q
reset reset
 


Q
reset
29
11: Sequential Circuits
Enabled latches and Flip flop
D Q
Latch
D Q
en
en


Latch
D
Q

0
1
en
Latch
D Q
 en
D
Q

0
1
en
D Q
 en
Flop
Flop
Flop
Symbol Multiplexer Design Clock Gating Design
30
11: Sequential Circuits
Klass semidynamic flip flop (SDFF)
31
11: Sequential Circuits
CONT.,
X remains high because
n2 off
X starts to fall low.
32
11: Sequential Circuits
CONT.,
33
11: Sequential Circuits
CONT.,
34
11: Sequential Circuits
True single phase clock latches
 TSPC latches and FFs replace the inverter –transmission
gate or C2MOS stage with pair of stages requiring only
the clock not its complement
35
11: Sequential Circuits
True single phase clock flip flop
36
11: Sequential Circuits
CONT.,
37
11: Sequential Circuits
Differential flip flop
38
11: Sequential Circuits
CONT.,
39
11: Sequential Circuits
Static sequencing element methodology
40
11: Sequential Circuits
Introduction
 No. of issues designer take care to when selecting
sequential circuits.
 Until 0.5 or 0.35 μm =>leakage relatively low
 Leakage => usually worst during burn in testing at
elevated temperature and voltage.
 Designer has forced to build design for test (DFT)
features into sequencing elements.
 Mostly use scan based testing.
41
11: Sequential Circuits
Cont.,
 Another challenge is clock distribution
Very difficult to distribute a single clock across a
large die that gets into all sequencing elements at
nearly the same time.
 Delayed clk, complementary clk, pulses are
generated internally.
42
11: Sequential Circuits
Choice of elements
 1.flip flops
 FF=>fairly high sequencing overhead , but are
popular , they are so simple.
 Many ASIC methodologies use FFS exclusively for
pipelines and state machine.
2. Pulsed latches:
 It is faster than FFs and offer some time borrowing
capability at expense of greater hold time.
 fewer clocked transistor=>low power consumption.
 Long hold time => unsuitable for pipeline stage
 If the speed is not important we can use FFS.
43
11: Sequential Circuits
CONT.,
 3. Transparent latches:
Lower sequencing overhead than FFs
They permit nearly half cycle of time borrowing
44
11: Sequential Circuits
Low power sequential design
11: Sequential Circuits
45
 FFs and latches are normally clocked every cycle.
Both core latch and clock distribution network must be
carefully analyzed to achieve low dynamic power
 Keep device size – small inside the latch
 Minimize the number of clocked transistors.
 Pulsed latch –attractive => because fewer clocked
transistor than two phase latches & FFs
 Also tradeoff between testability and power
consumption.
 Clock gating effectively used
turn off certain section– it does not required
certain time intervals.
Two phase timing types
11: Sequential Circuits
46
 Signal can belong to either phase1 or phase2 =>three
classes:1.stable,2.valid,3.qulified clock.
 Stable(_s1):if it settles to a value before Φ1 rises &
remains constant until after Φ1 falls.
 valid(_v1): if it settles to a value before Φ1 falls &
remains constant until after Φ1 falls..
 qualified clock(_q1):if it either rises and falls like
Φ1 or remains low for the entire cycle
Cont.,
47
Cont.,
11: Sequential Circuits
48
 Phase1 requires _s1 or _v1 input =>
produces _s2 output—output settles before Φ1 rise
 Phase2 requires _s2 or _v2 input =>
produces _s1 output
 Qualified clocks are formed by AND of a clock phase.
 Qualified clock must be stable—there is no glitches.
Characterizing sequencing element delay
11: Sequential Circuits
49
Sequencing dynamic circuits
11: Sequential Circuits
50
Traditional domino circuits
11: Sequential Circuits
51
 clk—high ,1st half cycle evalevates,2nd precharges
 Clk-low,1st precharge,2nd evaluates
 This is ping-pong approach, the precharge does not
appear in the critical path.
 In latches, hold the. data during precharge period,
next evaluates.
Traditional domino circuits with skew
11: Sequential Circuits
52
 Data launched into first dynamic gate –rising edge of the clk—setup the
data before falling of the clk.
 Clk skew --cut the time available for computation in each cycle.
 In latch Clk skew is worst than FFs
Also Traditional domino ckt suffer
from imbalanced logic.
Gates can not borrow time into
the next half cycle– fraction of gate
delay at the end of each half cycle may
be wasted
Skew tolerant domino circuits
11: Sequential Circuits
53
 Traditional domino ckt—high sequencing overhead—
have hard edge. In each half cycle.
 1st domino gate does not evaluate before rising edge
of the clk—but result must be setup the latch before
falling.
 Cut the overhead by – if we remove the latch
 Latch serves two function:
1.to prevent non monotonic signals from entering the next domino gate while
it evaluates
2.To hold the results of the half cycle while it precharge and the next half cycle
evaluates
Cont.,
54
 In domino –all the signals are monotonic--so 1st function unnecessary
after next half cycle has sufficient to evaluate
Ф1 falls –a precharge high
& b precharge low
When Ф2 rises –input of 1st domino gate
already fall , so c never discharge the
ckt loses the information
When Ф2 rises –while b still holds its
correct value
Ф1 falls –b precharge low ,c holds its
value
non overlapping overlapping
Time borrowing in skew –tolerant domino
circuits
11: Sequential Circuits
55
Two phase skew tolerant domino
11: Sequential Circuits
56
If contamination delay
is small –race condition
occur
OTB domino
11: Sequential Circuits
57
4-phase skew tolerant domino
11: Sequential Circuits
58
Clock generator 4-phase skew tolerant domino
11: Sequential Circuits
59

More Related Content

What's hot

Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Usha Mehta
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clockMantra VLSI
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array) Iffat Anjum
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology TrendsUsha Mehta
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Sequential cmos logic circuits
Sequential cmos logic circuitsSequential cmos logic circuits
Sequential cmos logic circuitsSakshi Bhargava
 

What's hot (20)

Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clock
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Unit VI CPLD-FPGA Architecture
Unit VI CPLD-FPGA ArchitectureUnit VI CPLD-FPGA Architecture
Unit VI CPLD-FPGA Architecture
 
FPGA Introduction
FPGA IntroductionFPGA Introduction
FPGA Introduction
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
CMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURESCMOS LOGIC STRUCTURES
CMOS LOGIC STRUCTURES
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
 
SRAM
SRAMSRAM
SRAM
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Dynamic logic circuits
Dynamic logic circuitsDynamic logic circuits
Dynamic logic circuits
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Sequential cmos logic circuits
Sequential cmos logic circuitsSequential cmos logic circuits
Sequential cmos logic circuits
 

Similar to VLSI Design Sequential circuit design

Timing notes 2006
Timing notes 2006Timing notes 2006
Timing notes 2006pavan kumar
 
Combinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint PresentationCombinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint Presentationssuser2712bb
 
DIGITAL ELECTRONICS PPT 1.ppt
DIGITAL ELECTRONICS PPT 1.pptDIGITAL ELECTRONICS PPT 1.ppt
DIGITAL ELECTRONICS PPT 1.pptAmitpaswan23
 
Iaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd Iaetsd
 
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...Hsien-Hsin Sean Lee, Ph.D.
 
SEQUENTIAL CIRCUITS -Module 5 (1).pptx
SEQUENTIAL CIRCUITS -Module 5 (1).pptxSEQUENTIAL CIRCUITS -Module 5 (1).pptx
SEQUENTIAL CIRCUITS -Module 5 (1).pptxThanmayiKumar
 
Iaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd Iaetsd
 
Promo book2 ch1_feb23_11
Promo book2 ch1_feb23_11Promo book2 ch1_feb23_11
Promo book2 ch1_feb23_11Sarah Krystelle
 
Sequntial logic design
Sequntial logic designSequntial logic design
Sequntial logic designPavan Mukku
 
Iaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd Iaetsd
 
Derived clock-journal
Derived clock-journalDerived clock-journal
Derived clock-journalMylinza Lonod
 

Similar to VLSI Design Sequential circuit design (20)

Timing notes 2006
Timing notes 2006Timing notes 2006
Timing notes 2006
 
PPT 2nd unit course file.ppt
PPT 2nd unit course file.pptPPT 2nd unit course file.ppt
PPT 2nd unit course file.ppt
 
Cse(b) g1 flipflop
Cse(b) g1 flipflopCse(b) g1 flipflop
Cse(b) g1 flipflop
 
Combinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint PresentationCombinational Logic Concept Powerpoint Presentation
Combinational Logic Concept Powerpoint Presentation
 
file (1).ppt
file (1).pptfile (1).ppt
file (1).ppt
 
DIGITAL ELECTRONICS PPT 1.ppt
DIGITAL ELECTRONICS PPT 1.pptDIGITAL ELECTRONICS PPT 1.ppt
DIGITAL ELECTRONICS PPT 1.ppt
 
Iaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applicationsIaetsd low power flip flops for vlsi applications
Iaetsd low power flip flops for vlsi applications
 
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...
Lec14 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Se...
 
SEQUENTIAL CIRCUITS -Module 5 (1).pptx
SEQUENTIAL CIRCUITS -Module 5 (1).pptxSEQUENTIAL CIRCUITS -Module 5 (1).pptx
SEQUENTIAL CIRCUITS -Module 5 (1).pptx
 
07_Digital timing_&_Pipelining.ppt
07_Digital timing_&_Pipelining.ppt07_Digital timing_&_Pipelining.ppt
07_Digital timing_&_Pipelining.ppt
 
Dynamic CMOS.pdf
Dynamic CMOS.pdfDynamic CMOS.pdf
Dynamic CMOS.pdf
 
Iaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low powerIaetsd glitch free nand based digitally controlled delay lines using low power
Iaetsd glitch free nand based digitally controlled delay lines using low power
 
DLD Chapter-5.pdf
DLD Chapter-5.pdfDLD Chapter-5.pdf
DLD Chapter-5.pdf
 
Ch3
Ch3Ch3
Ch3
 
Promo book2 ch1_feb23_11
Promo book2 ch1_feb23_11Promo book2 ch1_feb23_11
Promo book2 ch1_feb23_11
 
Sequntial logic design
Sequntial logic designSequntial logic design
Sequntial logic design
 
Iaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing lowIaetsd an mtcmos technique for optimizing low
Iaetsd an mtcmos technique for optimizing low
 
Derived clock-journal
Derived clock-journalDerived clock-journal
Derived clock-journal
 
Logic Gate
Logic GateLogic Gate
Logic Gate
 
Vlsi(140083112008,15,16)
Vlsi(140083112008,15,16)Vlsi(140083112008,15,16)
Vlsi(140083112008,15,16)
 

More from tamil arasan

Unit 3- OPTICAL SOURCES AND DETECTORS
Unit 3- OPTICAL SOURCES AND DETECTORS Unit 3- OPTICAL SOURCES AND DETECTORS
Unit 3- OPTICAL SOURCES AND DETECTORS tamil arasan
 
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER 	Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER tamil arasan
 
Steps for design of butterworth and chebyshev filter
Steps for design of butterworth and chebyshev filterSteps for design of butterworth and chebyshev filter
Steps for design of butterworth and chebyshev filtertamil arasan
 
EC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab ManualEC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab Manualtamil arasan
 
Filter- IIR - Digital signal processing(DSP)
Filter- IIR - Digital signal processing(DSP)Filter- IIR - Digital signal processing(DSP)
Filter- IIR - Digital signal processing(DSP)tamil arasan
 
Finite word lenth effects
Finite word lenth effectsFinite word lenth effects
Finite word lenth effectstamil arasan
 

More from tamil arasan (11)

Unit 3- OPTICAL SOURCES AND DETECTORS
Unit 3- OPTICAL SOURCES AND DETECTORS Unit 3- OPTICAL SOURCES AND DETECTORS
Unit 3- OPTICAL SOURCES AND DETECTORS
 
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER 	Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER
Unit II- TRANSMISSION CHARACTERISTIC OF OPTICAL FIBER
 
Properties of dft
Properties of dftProperties of dft
Properties of dft
 
DSP lab manual
DSP lab manualDSP lab manual
DSP lab manual
 
Steps for design of butterworth and chebyshev filter
Steps for design of butterworth and chebyshev filterSteps for design of butterworth and chebyshev filter
Steps for design of butterworth and chebyshev filter
 
EC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab ManualEC6612 VLSI Design Lab Manual
EC6612 VLSI Design Lab Manual
 
Unit i
Unit iUnit i
Unit i
 
Unit 2
Unit  2Unit  2
Unit 2
 
Unit 3
Unit 3Unit 3
Unit 3
 
Filter- IIR - Digital signal processing(DSP)
Filter- IIR - Digital signal processing(DSP)Filter- IIR - Digital signal processing(DSP)
Filter- IIR - Digital signal processing(DSP)
 
Finite word lenth effects
Finite word lenth effectsFinite word lenth effects
Finite word lenth effects
 

Recently uploaded

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixingviprabot1
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 

Recently uploaded (20)

CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Effects of rheological properties on mixing
Effects of rheological properties on mixingEffects of rheological properties on mixing
Effects of rheological properties on mixing
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 

VLSI Design Sequential circuit design

  • 1. P R E P A R E D B Y V . T H A M I Z H A R A S A N A S S I S T A N T P R O F E S S O R D E P A R T M E N T O F E C E E R O D E S E N G U N T H A R E N G I N E E R I N G C O L L E G E Sequential circuit design 1 11: Sequential Circuits
  • 2. Sequencing static circuits 11: Sequential Circuits 2  Combinational logic  output depends only on current inputs  Sequential logic  output depends on current and previous inputs  Requires flip flop or latch(memory element )=>hold the data called tokens or state  Ex: FSM, pipeline CL clk in out clk clk clk CL CL PipelineFinite State Machine
  • 3. Sequencing Cont. 11: Sequential Circuits 3  If tokens moved through pipeline at constant speed, no sequencing elements will be needed  Ex: fiber-optic cable  Light pulses (tokens) are sent down cable  Next pulse sent before first reaches end of cable  No need for hardware to separate pulses  But dispersion sets min time between pulses  This is called wave pipelining in circuits  In most circuits, dispersion is high  Delay fast tokens so they don’t catch slow ones.
  • 4. Sequencing Overhead 11: Sequential Circuits 4  We need to delay fast tokens, so that they don't catch up with slow tokens  Use flip-flops to delay fast tokens so they move through exactly one stage each cycle.  Inevitably adds some delay to the slow tokens  Makes circuit slower than just the logic delay  Called sequencing overhead or clocking overhead
  • 5. Sequencing Methods 11: Sequential Circuits 5  Flip-flops  2-Phase Latches  Pulsed Latches Flip-Flops FlopLatch Flop clk 1 2 p clk clk Latch Latch p p 1 1 2 2-PhaseTransparentLatchesPulsedLatches Combinational Logic Combinational Logic Combinational Logic Combinational Logic Latch Latch Tc Tc /2 tnonoverlap tnonoverlap tpw Half-Cycle 1 Half-Cycle 1
  • 6. Timing Diagrams 11: Sequential Circuits 6 Flop A Y tpd Combinational Logic A Y D Q clk clk D Q Latch D Q clk clk D Q tcd tsetup thold tccq tpcq tccq tsetup thold tpcq tpdq tcdq tpd Logic Propagation Delay tcd Logic Cont. Delay tpcq Latch/Flop Clk->Q Prop. Delay tccq Latch/Flop Clk->Q Cont. Delay tpdq Latch D->Q Prop. Delay tcdq Latch D->Q Cont. Delay tsetup Latch/Flop Setup Time thold Latch/Flop Hold Time Contamination and Propagation Delays
  • 7. Max-Delay: Flip-Flops 11: Sequential Circuits 7 F1 F2 clk clk clk Combinational Logic Tc Q1 D2 Q1 D2 tpd tsetup tpcq  setup sequencing overhead pd c pcqt T t t   If combinational logic delay high mismatch the setup and hold time, samples the wrong value setup time failure or MAX - delay failure Solved by => changing the combinational logic to faster or increasing the clock period TC≥tpcq+tpd+tsetup
  • 8. Max Delay: 2-Phase Latches 11: Sequential Circuits 8 Tc Q1 L1 1 2 L2 L3 1 1 2 Combinational Logic 1 Combinational Logic 2 Q2 Q3D1 D2 D3 Q1 D2 Q2 D3 D1 tpd1 tpdq1 tpd2 tpdq2 TC≥tpdq1+tpd1+ tpdq2+tpd2 Tpd= tpd1+ tpd2≤ TC-(2tpdq) Sequencing overhead
  • 9. Max Delay: Pulsed Latches 11: Sequential Circuits 9 Tc Q1 Q2D1 D2 Q1 D2 D1 p p p Combinational Logic L1 L2 tpw (a) tpw > tsetup Q1 D2 (b) tpw < tsetup Tc tpd tpdq tpcq tpd tsetup  setup sequencing overhead max ,pd c pdq pcq pwt T t t t t    TC≥ max(tpdq+tpd,tpcq+tpd+tsetup-tpw)
  • 10. Min-Delay: Flip-Flops 11: Sequential Circuits 10 holdcd ccqt t t  CL clk Q1 D2 F1 clk Q1 F2 clk D2 tcd thold tccq If hold time is large & the contamination delay small . The data can incorrectly propagate through two successive elements on clock edge This called race condition ,hold time failure or min delay faliure It can only be fixed by redesigning the logic ,not by slowing the clock.
  • 11. Min-Delay: 2-Phase Latches 11: Sequential Circuits 11 1, 2 hold nonoverlapcd cd ccqt t t t t   CL Q1 D2 D2 Q1 1 L1 2 L2 1 2 tnonoverlap tcd thold tccq Hold time reduced by nonoverlap time sufficiently large Paradox: latch seems to require twice the overall logic contamination delay as compared to FF
  • 12. Min-Delay: Pulsed Latches 11: Sequential Circuits 12 holdcd ccq pwt t t t   CL Q1 D2 Q1 D2 p tpw p L1 p L2 tcd thold tccq Hold time=> increased by pulse width
  • 13. Time Borrowing 11: Sequential Circuits 13  In a flop-based system:  Data leave on rising edge  Must setup before next rising edge  If it arrives late, system fails  If it arrives early, time is wasted  Flops have hard edges– sharply define the cycle.  In a latch-based system  Data leave on first rising edge  But does not have setup until falling edge of the clock on the receiving latch  Long cycle of logic can borrow time into next half cycle or stage  loops may borrow time internally but must complete within the cycle completes in one cycle.
  • 14. Time Borrowing Example 11: Sequential Circuits 14 Latch Latch Latch Combinational Logic Combinational Logic Borrowing time across half-cycle boundary Borrowing time across pipeline stage boundary (a) (b) Latch LatchCombinational Logic Combinational Logic Loops may borrow time internally but must complete within the cycle 1 2 1 1 1 2 2
  • 15. How Much Borrowing? 11: Sequential Circuits 15 Q1 L1 1 2 L2 1 2 Combinational Logic 1 Q2D1 D2 D2 Tc Tc /2 Nominal Half-Cycle 1 Delay tborrow tnonoverlap tsetup  borrow setup nonoverlap 2 cT t t t   2-Phase Latches borrow setuppwt t t  Pulsed Latches
  • 16. Benefits of time borrowing  Intentional time borrowing Designer can more easily balance logic between half cycles and pipeline stage. Balancing can take place during the circuit style rather than change in the micro architecture.  Opportunistic time borrowing Designer carefully equalize the delay in each stage at design time . delays can differ from one stage to other in fabricated chip due to environmental and process variation. time borrowing => the slow cycles can opportunistically borrow time from faster ones . 16 11: Sequential Circuits
  • 17. Clock Skew 11: Sequential Circuits 17  clock skew (TSkew) is the difference in the arrival time between two sequentially-adjacent registers.  Clocks really have uncertainty in arrival time  Decreases maximum propagation delay  Increases minimum contamination delay  Decreases time borrowing
  • 18. Another example tsu th Q0 Q0 reg tpd clk0  clk1 clk0 clk1 tskew 18 11: Sequential Circuits
  • 19. Skew: Flip-Flops 11: Sequential Circuits 19 F1 F2 clk clk clk Combinational Logic Tc Q1 D2 Q1 D2 tskew CL Q1 D2 F1 clk Q1 F2 clk D2 clk tskew tsetup tpcq tpdq tcd thold tccq  setup skew sequencing overhead hold skew pd c pcq cd ccq t T t t t t t t t       
  • 20. Skew: Latches 11: Sequential Circuits 20 Q1 L1 1 2 L2 L3 1 1 2 Combinational Logic 1 Combinational Logic 2 Q2 Q3D1 D2 D3     sequencing overhead 1 2 hold nonoverlap skew borrow setup nonoverlap skew 2 , 2 pd c pdq cd cd ccq c t T t t t t t t t T t t t t           2-Phase Latches     setup skew sequencing overhead hold skew borrow setup skew max ,pd c pdq pcq pw cd pw ccq pw t T t t t t t t t t t t t t t t             Pulsed Latches
  • 21. Circuit design of latches and flip flops  Conventional CMOS latches =>built using pass transistor or tristate buffer 1. Very simple transparent latch=> using single transistor  But the output does not swing rail to rail  It never rise above vdd-vt  The output floats when the latch is opaque. also long time opaque means suffers from leakage D Q  21 11: Sequential Circuits
  • 22. Cont., 2.Using CMOS transmission gate:  To offer full rail to rail output.  It requires complementary clock. 3. Adds output as an inverter.  So that the state node x as an inverter isolated from the noise 4.Buffered input: D Q   D   X Q D Q   C2MOS structure 22 11: Sequential Circuits
  • 23. Cont.,  Modern process ,sub threshold leakage is large enough that dynamic nodes retain their values for only short time.  Practical latches need to be staticized =>adding feedback to prevent the output from floating  But create a noise at output due to feedback     QD X   QD X   23 11: Sequential Circuits
  • 24. Cont., Very robust transparent latch:  All nodes produces full rail output  Static noise isolated from the output noise Robust transparent latch with inverting output   Q D X       Q D X Jamb latch: Reduce the clock load, save two transistor by using weak inverter in place of tri state. 24 11: Sequential Circuits
  • 25. Conventional CMOS flip-flops  Dynamic FF from back to back dynamic latches D Q     X D     X Q Q     25 11: Sequential Circuits
  • 26. Transmission gate and NORA dynamic flip flop  Φ falls ,both the clock and its complement are momentarily low .  If the skew is too large=> leading to incorrect operation. 26 11: Sequential Circuits
  • 27. Two phase non overlapping clocks 27 11: Sequential Circuits
  • 28. Reset  Synchronous reset : synchronous reset signal will only affect or reset the state of the flip-flop on the active edge of the clock.  Asynchronous reset : An asynchronous reset will affect or reset the state of the flip-flop asynchronously i.e. no matter what the clock signal is. 28 11: Sequential Circuits
  • 29. Resettable latches and flip flop D     Q Q     reset D       Q  D reset   Q   D reset reset   reset SynchronousResetAsynchronousResetSymbol Flop D Q LatchD Q reset reset     Q reset 29 11: Sequential Circuits
  • 30. Enabled latches and Flip flop D Q Latch D Q en en   Latch D Q  0 1 en Latch D Q  en D Q  0 1 en D Q  en Flop Flop Flop Symbol Multiplexer Design Clock Gating Design 30 11: Sequential Circuits
  • 31. Klass semidynamic flip flop (SDFF) 31 11: Sequential Circuits
  • 32. CONT., X remains high because n2 off X starts to fall low. 32 11: Sequential Circuits
  • 35. True single phase clock latches  TSPC latches and FFs replace the inverter –transmission gate or C2MOS stage with pair of stages requiring only the clock not its complement 35 11: Sequential Circuits
  • 36. True single phase clock flip flop 36 11: Sequential Circuits
  • 38. Differential flip flop 38 11: Sequential Circuits
  • 40. Static sequencing element methodology 40 11: Sequential Circuits
  • 41. Introduction  No. of issues designer take care to when selecting sequential circuits.  Until 0.5 or 0.35 μm =>leakage relatively low  Leakage => usually worst during burn in testing at elevated temperature and voltage.  Designer has forced to build design for test (DFT) features into sequencing elements.  Mostly use scan based testing. 41 11: Sequential Circuits
  • 42. Cont.,  Another challenge is clock distribution Very difficult to distribute a single clock across a large die that gets into all sequencing elements at nearly the same time.  Delayed clk, complementary clk, pulses are generated internally. 42 11: Sequential Circuits
  • 43. Choice of elements  1.flip flops  FF=>fairly high sequencing overhead , but are popular , they are so simple.  Many ASIC methodologies use FFS exclusively for pipelines and state machine. 2. Pulsed latches:  It is faster than FFs and offer some time borrowing capability at expense of greater hold time.  fewer clocked transistor=>low power consumption.  Long hold time => unsuitable for pipeline stage  If the speed is not important we can use FFS. 43 11: Sequential Circuits
  • 44. CONT.,  3. Transparent latches: Lower sequencing overhead than FFs They permit nearly half cycle of time borrowing 44 11: Sequential Circuits
  • 45. Low power sequential design 11: Sequential Circuits 45  FFs and latches are normally clocked every cycle. Both core latch and clock distribution network must be carefully analyzed to achieve low dynamic power  Keep device size – small inside the latch  Minimize the number of clocked transistors.  Pulsed latch –attractive => because fewer clocked transistor than two phase latches & FFs  Also tradeoff between testability and power consumption.  Clock gating effectively used turn off certain section– it does not required certain time intervals.
  • 46. Two phase timing types 11: Sequential Circuits 46  Signal can belong to either phase1 or phase2 =>three classes:1.stable,2.valid,3.qulified clock.  Stable(_s1):if it settles to a value before Φ1 rises & remains constant until after Φ1 falls.  valid(_v1): if it settles to a value before Φ1 falls & remains constant until after Φ1 falls..  qualified clock(_q1):if it either rises and falls like Φ1 or remains low for the entire cycle
  • 48. Cont., 11: Sequential Circuits 48  Phase1 requires _s1 or _v1 input => produces _s2 output—output settles before Φ1 rise  Phase2 requires _s2 or _v2 input => produces _s1 output  Qualified clocks are formed by AND of a clock phase.  Qualified clock must be stable—there is no glitches.
  • 49. Characterizing sequencing element delay 11: Sequential Circuits 49
  • 50. Sequencing dynamic circuits 11: Sequential Circuits 50
  • 51. Traditional domino circuits 11: Sequential Circuits 51  clk—high ,1st half cycle evalevates,2nd precharges  Clk-low,1st precharge,2nd evaluates  This is ping-pong approach, the precharge does not appear in the critical path.  In latches, hold the. data during precharge period, next evaluates.
  • 52. Traditional domino circuits with skew 11: Sequential Circuits 52  Data launched into first dynamic gate –rising edge of the clk—setup the data before falling of the clk.  Clk skew --cut the time available for computation in each cycle.  In latch Clk skew is worst than FFs Also Traditional domino ckt suffer from imbalanced logic. Gates can not borrow time into the next half cycle– fraction of gate delay at the end of each half cycle may be wasted
  • 53. Skew tolerant domino circuits 11: Sequential Circuits 53  Traditional domino ckt—high sequencing overhead— have hard edge. In each half cycle.  1st domino gate does not evaluate before rising edge of the clk—but result must be setup the latch before falling.  Cut the overhead by – if we remove the latch  Latch serves two function: 1.to prevent non monotonic signals from entering the next domino gate while it evaluates 2.To hold the results of the half cycle while it precharge and the next half cycle evaluates
  • 54. Cont., 54  In domino –all the signals are monotonic--so 1st function unnecessary after next half cycle has sufficient to evaluate Ф1 falls –a precharge high & b precharge low When Ф2 rises –input of 1st domino gate already fall , so c never discharge the ckt loses the information When Ф2 rises –while b still holds its correct value Ф1 falls –b precharge low ,c holds its value non overlapping overlapping
  • 55. Time borrowing in skew –tolerant domino circuits 11: Sequential Circuits 55
  • 56. Two phase skew tolerant domino 11: Sequential Circuits 56 If contamination delay is small –race condition occur
  • 58. 4-phase skew tolerant domino 11: Sequential Circuits 58
  • 59. Clock generator 4-phase skew tolerant domino 11: Sequential Circuits 59