SlideShare a Scribd company logo
1 of 20
Download to read offline
‫األنظمة‬ ‫بناء‬ ‫في‬ ‫للبرمجة‬ ‫القابلة‬ ‫ّة‬‫ي‬‫المنطق‬ ‫الدوائر‬ ‫ّة‬‫ي‬‫أهم‬ ‫عن‬ ‫مقدمة‬
‫الحديثة‬ ‫ّة‬‫ي‬‫الرقم‬
‫السنين‬ ‫عبر‬ ‫وتطورها‬ ‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫أنواع‬
‫نوع‬ ‫من‬ ‫الذاكرات‬ ‫استخدام‬PROM‫ّة‬‫ي‬‫المنطق‬ ‫النظم‬ ‫تصميم‬ ‫في‬
‫مثال‬:‫لمفترق‬ ‫مرور‬ ‫بإشارة‬ ‫التحكم‬‫طرق‬.
‫برمجية‬ ‫استخدام‬ ‫على‬ ‫التدرب‬ISPLEVER Classic‫في‬
‫قاعدة‬ ‫على‬ ‫ّة‬‫ي‬‫منطق‬ ‫نظم‬ ‫تصميم‬PAL, GAL, CPLD
‫بسيطة‬ ‫أمثلة‬ ‫على‬ ‫تطبيق‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫إلى‬ ‫المنطقية‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫تقسيم‬ ‫مكن‬ُ‫ي‬‫نوعين‬:
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫التوافقية‬ ‫ظم‬ُ‫ن‬‫ال‬
‫يكون‬‫النظام‬‫توافقيا‬‫إذا‬‫ما‬‫ارتبطت‬‫مخارجه‬‫ا‬ّ‫ي‬‫حصر‬‫بمداخله‬‫االبتدائية‬
‫مهما‬‫كان‬‫الزمن‬‫أو‬‫تعاقب‬‫تركيبة‬‫المداخل‬.
‫قابل‬ُ‫ت‬‫تركيبة‬‫نة‬ّ‫ي‬‫مع‬‫من‬‫المداخل‬‫تركيبة‬
‫وحيدة‬‫بالنسبة‬‫للمخارج‬‫مهما‬‫كان‬‫الزمن‬.
‫آخر‬ ‫بمعنى‬
‫التوافقية‬ ‫ظم‬ُ‫ن‬‫ال‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫نكتفي‬‫بالمداخل‬‫االبتدائية‬‫لتصميم‬‫وبناء‬‫النظام‬
‫التوافقي‬
‫مكن‬ُ‫ي‬‫نم‬‫ذ‬‫جة‬‫النظام‬‫التوافقي‬‫كالتالي‬:
‫توافقي‬‫نظام‬
x1
x2
xn
Y1=f1(x1, x2…xn)
Y2=f2(x1, x2…xn)
Yn=fm(x1, x2…xn)
‫نكتفي‬‫بالمداخل‬‫االبتدائية‬‫لتصميم‬‫وبناء‬‫النظام‬‫التوافقي‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫يكون‬‫النظام‬‫تعاقبيا‬‫إذا‬‫ما‬‫ارتبطت‬‫مخارجه‬‫بمداخله‬‫االبتدائية‬
‫وبحالته‬‫السابقة‬‫والتي‬‫ل‬ّ‫ث‬‫م‬ُ‫ت‬‫بمداخل‬‫ثانوية‬‫طلق‬ُ‫ي‬‫عليها‬
‫المتغيرات‬‫الداخلية‬.
‫الحاالت‬ ‫ذات‬ ‫باآلالت‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫هذه‬ ‫عرف‬ُ‫ت‬‫المحددة‬F.S.M
Finites States Machines
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
x1
x2
xn
Z1=f1(x1, x2…xn, q1, q2, …qj)
Zj=f1(x1, x2…xn, q1, q2, …qj)
‫نظام‬
‫توافقي‬ Y1=f1(x1, x2…xn, q1, q2, …qj)
Y2=f1(x1, x2…xn, q1, q2, …qj)
Ym=f1(x1, x2…xn, q1, q2, …qj)
t1
tj
zj
z1
x1
x2
xn
zj
z1
zj
z1
‫مكن‬ُ‫ي‬‫نم‬‫ذ‬‫جة‬‫النظام‬‫الت‬‫عاقبي‬‫كالتالي‬:
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ُمكن‬‫ي‬‫تمثيل‬‫اآلالت‬‫التعاقبية‬‫بإحدى‬‫النموذجين‬:
‫نموذج‬‫ميالي‬Mealy Model
‫نموذج‬‫مور‬Moore Model
‫بالنسبة‬‫لهذا‬‫النموذج‬‫ترتبط‬‫المخارج‬Y‫بمتغيرات‬‫الحالة‬(‫اإلثارة‬)Z.‫ولن‬‫يكون‬
‫للمداخل‬‫تأثيرا‬‫ُباشرا‬‫م‬‫عليها‬.
‫بالنسبة‬‫لهذا‬‫النموذج‬‫ترتبط‬‫المخارج‬Y‫بالمداخل‬X‫و‬‫بمتغيرات‬‫الحالة‬(‫اإلثارة‬)Z.
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ُمكن‬‫ي‬‫تمثيل‬‫اآلالت‬‫التعاقبية‬‫بإحدى‬‫النموذجين‬:
‫نموذج‬‫ميالي‬Mealy Model‫نموذج‬‫مور‬Moore Model
Zm
zP
f
g
Xn
ti
ZP
ZP
f
Xn
ti
g
zP
Ym
‫الزمنية‬ ‫النظر‬ ‫وجهة‬ ‫من‬ ‫التعاقبية‬ ‫النظم‬ ‫ترتيب‬:
‫إلى‬ ‫ترتيبها‬ ‫مكن‬ُ‫ي‬‫عائلتين‬:
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ظم‬ُ‫ن‬‫ال‬‫الالتزامنية‬:
‫ظم‬ُ‫ن‬‫ال‬‫التزامنية‬:
‫االبتدائية‬ ‫المداخل‬ ‫ُستوى‬‫م‬ ‫على‬ ‫تغيير‬ ّ‫أي‬ ‫ظهور‬ ‫عند‬ ‫ّا‬‫ي‬‫لحظ‬ ‫فعلها‬ ‫ة‬ّ‫د‬‫ر‬,
(‫االستجابة‬ ‫زمن‬ ‫استثنينا‬ ‫ما‬ ‫إذا‬.)
‫ُؤقت‬‫م‬‫ال‬ ‫تسمى‬ ‫إشارة‬ ‫مع‬ ‫متزامنة‬ ‫فعلها‬ ‫ة‬ّ‫د‬‫ر‬.‫عند‬ ‫الرجع‬ ‫حلقات‬ ‫ّل‬‫ع‬‫ف‬ُ‫ت‬
‫الزمن‬ ‫في‬ ‫محدودة‬ ‫لحظات‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬
‫ظم‬ُ‫ن‬‫ال‬‫الالتزامنية‬:
‫ظم‬ُ‫ن‬‫ال‬‫التزامنية‬:
‫توقيت‬
zP
Xn Ym
ZP
‫ذاكرة‬
‫منطقية‬ ‫شبكة‬
‫توافقية‬
YmXn
zP
ZP
‫منطقية‬ ‫شبكة‬
‫توافقية‬
‫ّة‬‫ي‬‫المنطق‬ ‫ظم‬ُ‫ن‬‫ال‬ ‫أنواع‬
‫ظم‬ُ‫ن‬‫ال‬‫التعاقبية‬‫التزامنية‬
‫ُؤقت‬‫م‬‫ال‬ ‫إشارة‬ ‫من‬ ‫الحافة‬ ‫على‬ ‫أو‬ ‫المستوى‬ ‫على‬ ‫يكون‬ ‫أن‬ ‫للتزامن‬ ‫ُمكن‬‫ي‬
‫النازلة‬ ‫الحافة‬

‫الصاعدة‬ ‫الحافة‬

‫العالي‬ ‫المستوى‬


‫المنخفض‬ ‫المستوى‬
‫ّة‬‫ي‬‫المنطق‬ ‫للنظم‬ ‫المادي‬ ‫االنجاز‬
‫بإحدى‬ ‫االلكترونية‬ ‫الصبغة‬ ‫ذات‬ ‫ة‬ّ‫ي‬‫المنطق‬ ‫النظم‬ ‫نجز‬ُ‫ت‬‫التقنيتين‬:
‫التقنية‬‫ة‬ّ‫ي‬‫التقليد‬:
‫ركبة‬ُ‫م‬ ‫أو‬ ‫بسيطة‬ ‫وظائف‬ ‫ذات‬ ‫قياسية‬ ‫دوائر‬ ‫بتركيب‬ ‫النظام‬ ‫بنى‬ُ‫ي‬
‫ة‬ّ‫ي‬‫معدن‬ ‫بأسالك‬ ‫اللوحات‬ ‫بين‬ ‫التوصيل‬ ‫ويقع‬ ‫مطبوعة‬ ‫لوحات‬ ‫على‬.
‫التقنية‬‫المبرمجة‬:
‫قابلة‬ ‫أساسية‬ ‫نات‬ ّ‫كو‬ُ‫م‬ ‫قاعدة‬ ‫على‬ ‫النظام‬ ‫بنى‬ُ‫ي‬‫للبرمجة‬:
•‫عالجات‬ُ‫م‬‫صغرية‬‫متحكمات‬ ‫أو‬‫صغرية‬,
•‫قابلة‬ ‫حاكمات‬‫للبرمجة‬,
•‫قابلة‬ ‫دوائر‬‫للبرمجة‬,
•‫خاصة‬ ‫دوائر‬.
‫التقليدية‬ ‫التقنية‬:‫القياسية‬ ‫املدجمة‬ ‫ائر‬‫و‬‫الد‬
‫التقليدية‬ ‫التقنية‬:‫القياسية‬ ‫املدجمة‬ ‫ائر‬‫و‬‫الد‬
‫المبرمجة‬ ‫التقنية‬
‫للبرمجة‬ ‫قابلة‬ ‫حاكمات‬PLC ‫دقيقة‬ ‫معالجات‬
‫للبرمجة‬ ‫قابلة‬ ‫دوائر‬
‫خاصة‬ ‫لتطبيقات‬ ‫دمجة‬ُ‫م‬ ‫دوائر‬
ASIC
‫المبرمجة‬ ‫التقنية‬ ‫و‬ ‫التقليدية‬ ‫التقنية‬ ‫بين‬ ‫نة‬‫ر‬‫قا‬ُ‫م‬
‫التقليدية‬ ‫التقنية‬
‫ُتصلبة‬‫م‬:‫ال‬‫ُمكن‬‫ي‬‫التعديل‬‫أو‬
‫التطوير‬‫بسهولة‬
‫الحجم‬‫كبير‬‫بالنسبة‬‫للنظم‬‫المعقدة‬
‫استهالك‬‫كبير‬‫للطاقة‬
‫احتمالية‬‫كبيرة‬‫لألعطال‬‫مع‬‫صعوبة‬
‫اكتشافها‬
‫تكلفة‬‫عالية‬‫في‬‫التنفيذ‬‫والصيانة‬
‫المبرمجة‬ ‫التقنية‬
‫مرنة‬:‫ُمكن‬‫ي‬‫التعديل‬‫والتطوير‬
‫بسهولة‬
‫حجم‬‫ى‬ّ‫ت‬‫صغيرح‬‫مع‬‫ظم‬ُ‫ن‬‫ُركبة‬‫م‬
‫اقتصاد‬‫في‬‫استهالك‬‫الطاقة‬
‫ثوقية‬ ُ‫و‬‫كبيرة‬‫في‬‫التشغيل‬‫مع‬‫ُسر‬‫ي‬
‫في‬‫الصيانة‬‫واإلصالح‬
‫تكلفة‬‫منخفضة‬
‫إمكانية‬‫التحكم‬‫الالمركزي‬‫مع‬
‫الربط‬‫عن‬‫طريق‬‫شبكات‬‫االتصال‬
‫السلكية‬‫والالسلكية‬
‫المبرمجة‬ ‫التقنية‬ ‫روع‬ُ‫ف‬
‫التعليمات‬ ‫برمجة‬ ‫على‬ ‫قائمة‬
‫يكون‬‫الكيان‬‫الصلب‬‫ثابتا‬ّ‫م‬‫ويت‬
‫التصميم‬‫باالعتماد‬‫على‬‫التعليمات‬
‫المعالجة‬‫من‬‫المتحكم‬‫الصغري‬
(‫الكيان‬‫البرمجي‬)
‫ّز‬‫ي‬‫تتم‬‫هذه‬‫التقنية‬‫بمرونة‬‫كبيرة‬‫و‬
‫تكلفة‬‫تصميم‬‫منخفضة‬.
‫ة‬ّ‫د‬‫ر‬‫فعلها‬‫بطيئة‬‫ّا‬‫ي‬‫نسب‬‫و‬‫غير‬
‫ُالئمة‬‫م‬‫للنظم‬‫التي‬‫تتطلب‬‫تشغيال‬
‫في‬‫الزمن‬‫الحقيقي‬Real Time
‫الصلب‬ ‫الجانب‬ ‫برمجة‬ ‫على‬ ‫قائمة‬
ّ‫م‬‫يت‬‫تصميم‬‫الكيان‬‫الصلب‬‫وفق‬
‫الشروط‬‫المطلوبة‬‫باالعتماد‬‫على‬
‫برمجيات‬‫تصميم‬‫خاصة‬.
‫تتطلب‬‫في‬‫بعض‬‫الحاالت‬‫امكانيات‬
‫مادية‬‫خاصة‬,‫ُمكن‬‫ي‬‫و‬‫أن‬‫تكون‬
‫ُكلفة‬‫م‬.
‫ّز‬‫ي‬‫تتم‬‫بسرعة‬‫االستجابة‬‫وهي‬
‫ُالئمة‬‫م‬‫للتطبيقات‬‫في‬‫الزمن‬‫الحقيقي‬
‫المبرمجة‬ ‫التقنية‬:‫التطبيقات‬ ‫نوع‬ ‫حسب‬ ‫تبويب‬
‫العامة‬ ‫التطبيقات‬‫تستخدم‬:
‫الدقيقة‬ ‫المتحكمات‬
‫من‬ ‫المنطقية‬ ‫الدوائر‬‫النوع‬:
‫ذاكرات‬PROM
‫النوع‬ ‫من‬ ‫المصفوفات‬PAL,
GAL, CPLD
‫ّة‬‫ي‬‫الحقل‬ ‫المصفوفات‬FPGA
‫الخاصة‬ ‫التطبيقات‬‫تستخدم‬:
‫من‬ ‫الخاصة‬ ‫الدوائر‬‫نوع‬:
ASIC
ASSP
‫ُعالجات‬‫م‬‫االشارة‬DSP
‫النواقل‬ ‫أشباه‬ ‫بتقنية‬ ‫ة‬ّ‫المنطقي‬ ‫الدوائر‬
‫المدمجة‬ ‫الدوائر‬ICs
‫الخاصة‬ ‫التطبيقات‬
‫القياسية‬ ‫الدوائر‬
‫العامة‬ ‫التطبيقات‬
ASICASSPDSP
TTL, CMOS, ECL
•‫المنطقية‬ ‫البوابات‬
•‫القالبات‬
•‫العدادات‬
•‫المسجالت‬
....‫الخ‬
‫الصلب‬ ‫برمجة‬
HW
‫التعليمات‬ ‫برمجة‬
SW
FPGAPLDMPUMCU
‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫تطبيقات‬
‫طلق‬ُ‫ي‬‫و‬ ‫وعسكرية‬ ‫مدنية‬ ‫مختلفة‬ ‫مجاالت‬ ‫في‬ ‫للبرمجة‬ ‫القابلة‬ ‫الدوائر‬ ‫ستخدم‬ُ‫ت‬
‫عليها‬‫األنظمة‬‫نة‬ّ‫م‬‫ض‬ُ‫م‬‫ال‬‫أو‬‫دمجة‬ُ‫م‬‫ال‬Embedded Systems
‫المجاالت‬ ‫هذه‬ ‫من‬‫نذكرعلى‬‫ال‬ ‫المثال‬ ‫سبيل‬‫الحصر‬:
•‫الصناعية‬ ‫العصبية‬ ‫الشبكات‬Artificial neural network
(‫الصناعي‬ ‫الذكاء‬)
•‫ة‬ّ‫ي‬‫المنزل‬ ‫األجهزة‬
•‫األرضية‬ ‫المركبات‬,‫الفضائية‬ ‫و‬ ‫ة‬ّ‫ي‬‫الجو‬
•‫الربوت‬
•‫االتصاالت‬ ‫أجهزة‬
•...‫الخ‬..

More Related Content

What's hot

التفكير المنظومي
التفكير المنظومي التفكير المنظومي
التفكير المنظومي
Ilyass Benarouia
 
شهادة A+
شهادة A+شهادة A+
شهادة A+
maherarab
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
babak danyal
 
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.pptتكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
MohamedKhdada
 
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيلأثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
akramjarar
 

What's hot (20)

تكنولوجيا المعلومات
تكنولوجيا المعلوماتتكنولوجيا المعلومات
تكنولوجيا المعلومات
 
التفكير المنظومي
التفكير المنظومي التفكير المنظومي
التفكير المنظومي
 
أعمال التدفئة المركزية
أعمال التدفئة المركزيةأعمال التدفئة المركزية
أعمال التدفئة المركزية
 
Artificial Intelligence Projects - Matlab
Artificial Intelligence Projects - MatlabArtificial Intelligence Projects - Matlab
Artificial Intelligence Projects - Matlab
 
نظم التشغيل.ppt
نظم التشغيل.pptنظم التشغيل.ppt
نظم التشغيل.ppt
 
التقويم الإلكتروني
التقويم الإلكتروني التقويم الإلكتروني
التقويم الإلكتروني
 
برنامج تعليم Stem
برنامج تعليم Stemبرنامج تعليم Stem
برنامج تعليم Stem
 
系統程式 -- 第 1 章 系統軟體
系統程式 -- 第 1 章 系統軟體系統程式 -- 第 1 章 系統軟體
系統程式 -- 第 1 章 系統軟體
 
شهادة A+
شهادة A+شهادة A+
شهادة A+
 
ورشة اساسيات اعمال الشدات الخشبيه للطلاب وحديثي التخرج
ورشة اساسيات اعمال الشدات الخشبيه للطلاب وحديثي التخرجورشة اساسيات اعمال الشدات الخشبيه للطلاب وحديثي التخرج
ورشة اساسيات اعمال الشدات الخشبيه للطلاب وحديثي التخرج
 
Delay model in vhdl
Delay model in vhdlDelay model in vhdl
Delay model in vhdl
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
اسس تصميم المطاعم
اسس تصميم المطاعماسس تصميم المطاعم
اسس تصميم المطاعم
 
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.pptتكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
تكنولوجيا المعلومات والاتصال واستخدامها ف الارشاد.ppt
 
Lecture 2 (microcontroller- المتحكم الدقيق)
Lecture 2 (microcontroller- المتحكم الدقيق)Lecture 2 (microcontroller- المتحكم الدقيق)
Lecture 2 (microcontroller- المتحكم الدقيق)
 
icdl-it-presentation-20031
icdl-it-presentation-20031icdl-it-presentation-20031
icdl-it-presentation-20031
 
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيلأثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
أثر استخدام الحاسوب في تدريس الرياضيات على تحصيل
 
عرض الدوائر الرقمية
عرض الدوائر الرقميةعرض الدوائر الرقمية
عرض الدوائر الرقمية
 
مكونات الكمبيوتر
مكونات الكمبيوترمكونات الكمبيوتر
مكونات الكمبيوتر
 
ورقة بحثية بعنوان
ورقة بحثية بعنوانورقة بحثية بعنوان
ورقة بحثية بعنوان
 

Similar to مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD

عمارة الحاسب
عمارة الحاسبعمارة الحاسب
عمارة الحاسب
تقانة
 
abdullah alshehri A+
abdullah alshehri  A+abdullah alshehri  A+
abdullah alshehri A+
abood20
 
Www.kutub.info 5727
Www.kutub.info 5727Www.kutub.info 5727
Www.kutub.info 5727
Adel Totott
 

Similar to مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD (20)

عمارة الحاسب
عمارة الحاسبعمارة الحاسب
عمارة الحاسب
 
الشبكات
الشبكاتالشبكات
الشبكات
 
المواصفات الفنية لمكونات الكمبيوتر الأساسية
المواصفات الفنية لمكونات الكمبيوتر الأساسيةالمواصفات الفنية لمكونات الكمبيوتر الأساسية
المواصفات الفنية لمكونات الكمبيوتر الأساسية
 
النظام الأساسي للكمبيوتر الشخصي
النظام الأساسي للكمبيوتر الشخصيالنظام الأساسي للكمبيوتر الشخصي
النظام الأساسي للكمبيوتر الشخصي
 
CCNA - Dr. Mostafa Elgamala
CCNA - Dr. Mostafa ElgamalaCCNA - Dr. Mostafa Elgamala
CCNA - Dr. Mostafa Elgamala
 
abdullah alshehri A+
abdullah alshehri  A+abdullah alshehri  A+
abdullah alshehri A+
 
Intro to Arm cortex m3_V2
Intro to Arm cortex m3_V2Intro to Arm cortex m3_V2
Intro to Arm cortex m3_V2
 
Www.kutub.info 5727
Www.kutub.info 5727Www.kutub.info 5727
Www.kutub.info 5727
 
introduction to computer and automation engineering
introduction to computer and automation engineering introduction to computer and automation engineering
introduction to computer and automation engineering
 
Gmtcc presentation Profile_Ar
Gmtcc presentation Profile_ArGmtcc presentation Profile_Ar
Gmtcc presentation Profile_Ar
 
Cour.semestre.01.(info01)
 Cour.semestre.01.(info01) Cour.semestre.01.(info01)
Cour.semestre.01.(info01)
 
مصطلحات نظم الاتصال
مصطلحات نظم الاتصالمصطلحات نظم الاتصال
مصطلحات نظم الاتصال
 
الوحدة الثانية - مكونات الحاسوب المادية والبرمجية -مهارات الحاسوب
الوحدة الثانية - مكونات الحاسوب المادية والبرمجية -مهارات الحاسوبالوحدة الثانية - مكونات الحاسوب المادية والبرمجية -مهارات الحاسوب
الوحدة الثانية - مكونات الحاسوب المادية والبرمجية -مهارات الحاسوب
 
Control system
Control systemControl system
Control system
 
بحث تخرج بعنوان ترجمة العناوين الشبكة
بحث تخرج بعنوان ترجمة العناوين الشبكةبحث تخرج بعنوان ترجمة العناوين الشبكة
بحث تخرج بعنوان ترجمة العناوين الشبكة
 
الدوائرة الرقمية
الدوائرة الرقميةالدوائرة الرقمية
الدوائرة الرقمية
 
عرض البيئة والدوائر الرقمية 01
عرض البيئة والدوائر الرقمية 01عرض البيئة والدوائر الرقمية 01
عرض البيئة والدوائر الرقمية 01
 
انتاج المهمة الأول
انتاج المهمة الأولانتاج المهمة الأول
انتاج المهمة الأول
 
Introduction to computer sciences
Introduction to computer sciencesIntroduction to computer sciences
Introduction to computer sciences
 
Siemens inverter 4
Siemens inverter 4Siemens inverter 4
Siemens inverter 4
 

Recently uploaded

الاستعداد للامتحانات.pptx عرض حولك كيفية
الاستعداد للامتحانات.pptx عرض حولك كيفيةالاستعداد للامتحانات.pptx عرض حولك كيفية
الاستعداد للامتحانات.pptx عرض حولك كيفية
NawalDahmani
 
ملخص درس الموقع والحركة علوم صف ٣ وزارى.
ملخص درس الموقع والحركة علوم صف ٣ وزارى.ملخص درس الموقع والحركة علوم صف ٣ وزارى.
ملخص درس الموقع والحركة علوم صف ٣ وزارى.
HSabri3
 
planting types indoor and outdoor for decoration
planting types indoor and outdoor for decorationplanting types indoor and outdoor for decoration
planting types indoor and outdoor for decoration
AhmedHikal13
 

Recently uploaded (16)

واستعمال الموارد الرقمية في التعليم .ppt
واستعمال الموارد الرقمية في التعليم .pptواستعمال الموارد الرقمية في التعليم .ppt
واستعمال الموارد الرقمية في التعليم .ppt
 
الشوق إلى حجّ بيت الله الحرام (فضائل الحج)
الشوق إلى حجّ بيت الله الحرام (فضائل الحج)الشوق إلى حجّ بيت الله الحرام (فضائل الحج)
الشوق إلى حجّ بيت الله الحرام (فضائل الحج)
 
الكامل في اتفاق الصحابة والأئمة علي وجوب الحجاب والجلباب علي المرأة واستحباب ...
الكامل في اتفاق الصحابة والأئمة علي وجوب الحجاب والجلباب علي المرأة واستحباب ...الكامل في اتفاق الصحابة والأئمة علي وجوب الحجاب والجلباب علي المرأة واستحباب ...
الكامل في اتفاق الصحابة والأئمة علي وجوب الحجاب والجلباب علي المرأة واستحباب ...
 
تحليل أساليب إدارة السوشيال ميديا مع فشار ميديا.pdf
تحليل أساليب إدارة السوشيال ميديا مع فشار ميديا.pdfتحليل أساليب إدارة السوشيال ميديا مع فشار ميديا.pdf
تحليل أساليب إدارة السوشيال ميديا مع فشار ميديا.pdf
 
مخطط التربية الموسيقية والتشكيلية س6.pdf
مخطط التربية الموسيقية والتشكيلية س6.pdfمخطط التربية الموسيقية والتشكيلية س6.pdf
مخطط التربية الموسيقية والتشكيلية س6.pdf
 
64617773-قلق-الامتحان.ppt قلق الامتحاااااان
64617773-قلق-الامتحان.ppt قلق الامتحاااااان64617773-قلق-الامتحان.ppt قلق الامتحاااااان
64617773-قلق-الامتحان.ppt قلق الامتحاااااان
 
الكامل في أسانيد وتصحيح حديث الدنيا سجن المؤمن وجنة الكافر من ( 15 ) طريقا عن...
الكامل في أسانيد وتصحيح حديث الدنيا سجن المؤمن وجنة الكافر من ( 15 ) طريقا عن...الكامل في أسانيد وتصحيح حديث الدنيا سجن المؤمن وجنة الكافر من ( 15 ) طريقا عن...
الكامل في أسانيد وتصحيح حديث الدنيا سجن المؤمن وجنة الكافر من ( 15 ) طريقا عن...
 
الاستعداد للامتحانات.pptx عرض حولك كيفية
الاستعداد للامتحانات.pptx عرض حولك كيفيةالاستعداد للامتحانات.pptx عرض حولك كيفية
الاستعداد للامتحانات.pptx عرض حولك كيفية
 
ملخص درس الموقع والحركة علوم صف ٣ وزارى.
ملخص درس الموقع والحركة علوم صف ٣ وزارى.ملخص درس الموقع والحركة علوم صف ٣ وزارى.
ملخص درس الموقع والحركة علوم صف ٣ وزارى.
 
دورة_الخطابة - فن مخاطبة الجماهير بطريقة إلقائية.pptx
دورة_الخطابة - فن مخاطبة الجماهير بطريقة إلقائية.pptxدورة_الخطابة - فن مخاطبة الجماهير بطريقة إلقائية.pptx
دورة_الخطابة - فن مخاطبة الجماهير بطريقة إلقائية.pptx
 
اسلوب النفي في ديوان الشريف الرضي بحث تخرج
اسلوب النفي في ديوان الشريف الرضي بحث تخرجاسلوب النفي في ديوان الشريف الرضي بحث تخرج
اسلوب النفي في ديوان الشريف الرضي بحث تخرج
 
planting types indoor and outdoor for decoration
planting types indoor and outdoor for decorationplanting types indoor and outdoor for decoration
planting types indoor and outdoor for decoration
 
تێکچوونا خەموکییا مەزن ژخەموکی چیە و خەموکی چەوا پەیدا دبیت ، چارەسەریا خەموک...
تێکچوونا خەموکییا مەزن ژخەموکی چیە و خەموکی چەوا پەیدا دبیت ، چارەسەریا خەموک...تێکچوونا خەموکییا مەزن ژخەموکی چیە و خەموکی چەوا پەیدا دبیت ، چارەسەریا خەموک...
تێکچوونا خەموکییا مەزن ژخەموکی چیە و خەموکی چەوا پەیدا دبیت ، چارەسەریا خەموک...
 
ظواهر كونية الدرس الأول الوحدة الأولى pptx
ظواهر كونية الدرس الأول الوحدة الأولى pptxظواهر كونية الدرس الأول الوحدة الأولى pptx
ظواهر كونية الدرس الأول الوحدة الأولى pptx
 
RPT PENDIDIKAN ISLAM THN 2 (SK) 2024-2025.docx
RPT PENDIDIKAN ISLAM THN 2 (SK) 2024-2025.docxRPT PENDIDIKAN ISLAM THN 2 (SK) 2024-2025.docx
RPT PENDIDIKAN ISLAM THN 2 (SK) 2024-2025.docx
 
IntegratedMulti TrophicAquaculture Systems Aquaculture experts Forum.pdf
IntegratedMulti TrophicAquaculture Systems Aquaculture experts Forum.pdfIntegratedMulti TrophicAquaculture Systems Aquaculture experts Forum.pdf
IntegratedMulti TrophicAquaculture Systems Aquaculture experts Forum.pdf
 

مقدمة عن الدوائر المنطقيّة القابلة للبرمجة PLD