SlideShare a Scribd company logo
PROGRAMMING IN HDL(SECA1605)
MENTOR
Dr.T.RAVI
Department of ECE
Sathyabama Institute of Science and Technology
Course Outcome
After the completion of course student will be able to
CO1 Understand the requirements of VHDL design flow
CO2 Interpret the Verilog language elements and its relevance to
digital design
CO3 Apply the Modelling Styles for Simulation, Synthesis and
Test Bench Creation.
CO4 Analyse the Performance Study of Combinational and
Sequential logic design using Verilog
CO5 Evaluate State Machine and Memory designs by Verilog
CO6 Create and realize the system in FPGA using Verilog
UNIT 5
REALIZING APPLICATIONS IN FPGA
FPGA Design Flow - Architecture of Xilinx Artix7 FPGA - Configurable Logic Blocks
(CLB)- Slice Description- LUT - Storage element - Programmable Interconnect -
Internal Hard macros - Realizing applications in FPGA - combinational functions -
N-bit functions, Encoder, Decoders - Sequential functions - N-bit register, shift
registers, up/down counters- N-bit processor. Case Study: study of protocols I2C,
SPI and UART.
VLSI DESIGN FLOW
Detailed (RTL)
Design
Design
Ideas
(Specifications)
Device
Programming
Timing
Simulation
Synthesis &
Implementation
Functional
Simulation
tpd=22.1ns
fmax=47.1MHz
FPGA
CPLD
FPGA DESIGN FLOW
FPGA DESIGN FLOW
FPGA Design Translation
• CAD to translate circuit from text description to physi
cal implementation
• Most current FPGA designers use register-transfer l
evel specification
RTL
.
.
C = A+B
.
Circuit
A
B
+ C
Array
Register Transfer-Level Design
• A register-transfer machine has combinational logic connecting
registers:
D
Q Combinational
Logic
D Q
D Q Combinational
Logic
Combinational
Logic
Lect-14.9
FPGA Circuit Compilation
• Technology Mapping
• Placement
• Routing
LUT
LUT
Assign a logical LUT to a physical location
Select wire segments and switches for
interconnection
Lect-14.10
FPGA Design Flow (Xilinx)
Design Entry
Synthesis
Implementation
Device
Programming
Functional
Simulation
Timing
Simulation
HDL files,
schematics
EDIF/XNF
netlist
NGD Xilinx
primitives file
FPGA bitstream
Lect-14.11
Design Flow with Test
Design and implement a simple unit permitting to speed
up encryption with RC5-similar cipher with fixed key set
on 8031 microcontroller. Unlike in the experiment 5, this
time your unit has to be able to perform an encryption
algorithm by itself, executing 32 rounds…..
Library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity RC5_core is
port(
clock, reset, encr_decr: in std_logic;
data_input: in std_logic_vector(31 downto 0);
data_output: out std_logic_vector(31 downto 0);
out_full: in std_logic;
key_input: in std_logic_vector(31 downto 0);
key_read: out std_logic;
);
end RC5_core;
Specification
VHDL
description
Functional simulation
Post-synthesis simulation
Synthesized
Circuit
Lect-14.12
Design Flow with Test (cont.)
Implementation
Configuration
Timing simulation
On chip testing
Post-synthesis simulation
Synthesized
Circuit
Lect-14.13
Implementation
Implementation
UCF
NGD
EDIF NCF
Native Generic Database file
Constraint Editor
User Constraint File
Native
Constraint
File
Electronic Design
Interchange Format
Circuit netlist Timing Constraints
Synthesis
Lect-14.14
Circuit Netlist and Mapping
LUT2
LUT3
LUT4
LUT5
FF1
FF2
LUT1
LUT0
Lect-14.15
Placing and Routing
Programmable Connections
FPGA
Lect-14.16
Configuration
• Once a design is implemented, you must create a file that the
FPGA can understand
• This file is called a bit stream: a BIT file (.bit extension)
• The BIT file can be downloaded directly to the FPGA, or can be
converted into a PROM file which stores the programming
information
Consists of…
• Real 6-input look-up table (LUT) technology
• Dual LUT5 (5-input LUT) option
• Distributed Memory and Shift Register Logic capability
• Dedicated high-speed carry logic for arithmetic functions
• Wide multiplexers for efficient utilization
7 series configurable logic block (CLB)
➢ CLBs are the main logic resources for implementing sequential as well as
combinatorial circuits
➢ Each CLB element is connected to a switch matrix for access to the
general routing matrix
Arrangement of Slices within the CLB
7 Series FPGA
Row and Column Relationship between CLBs and Slices
SLICEM and SLICEL
SLICEM
SLICEL
Unit 5_Realizing Applications in FPGA.pdf

More Related Content

Similar to Unit 5_Realizing Applications in FPGA.pdf

Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
Akash Chowdhury
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)
NAGASAI547
 

Similar to Unit 5_Realizing Applications in FPGA.pdf (20)

Reconfigurable ICs
Reconfigurable ICsReconfigurable ICs
Reconfigurable ICs
 
IJCRT2006062.pdf
IJCRT2006062.pdfIJCRT2006062.pdf
IJCRT2006062.pdf
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems Design
 
NIOS II Processor.ppt
NIOS II Processor.pptNIOS II Processor.ppt
NIOS II Processor.ppt
 
4_BIT_ALU
4_BIT_ALU4_BIT_ALU
4_BIT_ALU
 
VLSI
VLSIVLSI
VLSI
 
FPGA workshop
FPGA workshopFPGA workshop
FPGA workshop
 
FPGA @ UPB-BGA
FPGA @ UPB-BGAFPGA @ UPB-BGA
FPGA @ UPB-BGA
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
FPGA
FPGAFPGA
FPGA
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
 
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).pptL12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
 

Recently uploaded

CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
R&R Consult
 
Fruit shop management system project report.pdf
Fruit shop management system project report.pdfFruit shop management system project report.pdf
Fruit shop management system project report.pdf
Kamal Acharya
 
RS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
RS Khurmi Machine Design Clutch and Brake Exercise Numerical SolutionsRS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
RS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
Atif Razi
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdf
Kamal Acharya
 

Recently uploaded (20)

ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
The Ultimate Guide to External Floating Roofs for Oil Storage Tanks.docx
The Ultimate Guide to External Floating Roofs for Oil Storage Tanks.docxThe Ultimate Guide to External Floating Roofs for Oil Storage Tanks.docx
The Ultimate Guide to External Floating Roofs for Oil Storage Tanks.docx
 
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxCFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptx
 
Toll tax management system project report..pdf
Toll tax management system project report..pdfToll tax management system project report..pdf
Toll tax management system project report..pdf
 
Explosives Industry manufacturing process.pdf
Explosives Industry manufacturing process.pdfExplosives Industry manufacturing process.pdf
Explosives Industry manufacturing process.pdf
 
Fruit shop management system project report.pdf
Fruit shop management system project report.pdfFruit shop management system project report.pdf
Fruit shop management system project report.pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
RS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
RS Khurmi Machine Design Clutch and Brake Exercise Numerical SolutionsRS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
RS Khurmi Machine Design Clutch and Brake Exercise Numerical Solutions
 
fundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projectionfundamentals of drawing and isometric and orthographic projection
fundamentals of drawing and isometric and orthographic projection
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
Scaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltageScaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltage
 
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and VisualizationKIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdf
 
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfA CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
 
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptxCloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
Natalia Rutkowska - BIM School Course in Kraków
Natalia Rutkowska - BIM School Course in KrakówNatalia Rutkowska - BIM School Course in Kraków
Natalia Rutkowska - BIM School Course in Kraków
 

Unit 5_Realizing Applications in FPGA.pdf

  • 1. PROGRAMMING IN HDL(SECA1605) MENTOR Dr.T.RAVI Department of ECE Sathyabama Institute of Science and Technology
  • 2. Course Outcome After the completion of course student will be able to CO1 Understand the requirements of VHDL design flow CO2 Interpret the Verilog language elements and its relevance to digital design CO3 Apply the Modelling Styles for Simulation, Synthesis and Test Bench Creation. CO4 Analyse the Performance Study of Combinational and Sequential logic design using Verilog CO5 Evaluate State Machine and Memory designs by Verilog CO6 Create and realize the system in FPGA using Verilog
  • 3. UNIT 5 REALIZING APPLICATIONS IN FPGA FPGA Design Flow - Architecture of Xilinx Artix7 FPGA - Configurable Logic Blocks (CLB)- Slice Description- LUT - Storage element - Programmable Interconnect - Internal Hard macros - Realizing applications in FPGA - combinational functions - N-bit functions, Encoder, Decoders - Sequential functions - N-bit register, shift registers, up/down counters- N-bit processor. Case Study: study of protocols I2C, SPI and UART.
  • 7. FPGA Design Translation • CAD to translate circuit from text description to physi cal implementation • Most current FPGA designers use register-transfer l evel specification RTL . . C = A+B . Circuit A B + C Array
  • 8. Register Transfer-Level Design • A register-transfer machine has combinational logic connecting registers: D Q Combinational Logic D Q D Q Combinational Logic Combinational Logic
  • 9. Lect-14.9 FPGA Circuit Compilation • Technology Mapping • Placement • Routing LUT LUT Assign a logical LUT to a physical location Select wire segments and switches for interconnection
  • 10. Lect-14.10 FPGA Design Flow (Xilinx) Design Entry Synthesis Implementation Device Programming Functional Simulation Timing Simulation HDL files, schematics EDIF/XNF netlist NGD Xilinx primitives file FPGA bitstream
  • 11. Lect-14.11 Design Flow with Test Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds….. Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end RC5_core; Specification VHDL description Functional simulation Post-synthesis simulation Synthesized Circuit
  • 12. Lect-14.12 Design Flow with Test (cont.) Implementation Configuration Timing simulation On chip testing Post-synthesis simulation Synthesized Circuit
  • 13. Lect-14.13 Implementation Implementation UCF NGD EDIF NCF Native Generic Database file Constraint Editor User Constraint File Native Constraint File Electronic Design Interchange Format Circuit netlist Timing Constraints Synthesis
  • 14. Lect-14.14 Circuit Netlist and Mapping LUT2 LUT3 LUT4 LUT5 FF1 FF2 LUT1 LUT0
  • 16. Lect-14.16 Configuration • Once a design is implemented, you must create a file that the FPGA can understand • This file is called a bit stream: a BIT file (.bit extension) • The BIT file can be downloaded directly to the FPGA, or can be converted into a PROM file which stores the programming information
  • 17. Consists of… • Real 6-input look-up table (LUT) technology • Dual LUT5 (5-input LUT) option • Distributed Memory and Shift Register Logic capability • Dedicated high-speed carry logic for arithmetic functions • Wide multiplexers for efficient utilization 7 series configurable logic block (CLB) ➢ CLBs are the main logic resources for implementing sequential as well as combinatorial circuits ➢ Each CLB element is connected to a switch matrix for access to the general routing matrix
  • 18. Arrangement of Slices within the CLB
  • 20. Row and Column Relationship between CLBs and Slices