With the shrinking technology, reduced scale and power-hungry chip IO leads to System on Chip. The design of SOC using traditional standard bus scheme encounters with issues like non-uniform delay and routing problems. Crossbars could scale better when compared to buses but tend to become huge with increasing number of nodes. NOC has become the design paradigm for SOC design for its highly regularized interconnect structure, good scalability and linear design effort. The main components of an NoC topology are the network adapters, routing nodes, and network interconnect links. This paper mainly deals with the implementation of full custom SRAM based arrays over D FF based register arrays in the design of input module of routing node in 2D mesh NOC topology. The custom SRAM blocks replace D FF(D flip flop) memory implementations to optimize area and power of the input block. Full custom design of SRAMs has been carried out by MILKYWAY, while physical implementation of the input module with SRAMs has been carried out by IC Compiler of SYNOPSYS.The improved design occupies approximately 30% of the area of the original design. This is in conformity to the ratio of the area of an SRAM cell to the area of a D flip flop, which is approximately 6:28.The power consumption is almost halved to 1.5 mW. Maximum operating frequency is improved from 50 MHz to 200 MHz. It is intended to study and quantify the behavior of the single packet array design in relation to the multiple packet array design. Intuitively, a
common packet buffer would result in better utilization of available buffer space. This in turn would translate into lower delays in transmission. A MATLAB model is used to show quantitatively how performance is improved in a common packet array design.
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
The design of more complex systems becomes an increasingly difficult task because of different issues
related to latency, design reuse, throughput and cost that has to be considered while designing. In
Real-time applications there are different communication needs among the cores. When NoCs (Networks
on chip) are the means to interconnect the cores, use of some techniques to optimize the
communication are indispensable. From the performance point of view, large buffer sizes ensure
performance during different applications execution. But unfortunately, these same buffers are the main
responsible for the router total power dissipation. Another aspect is that by sizing buffers for the worst case
latency incurs in extra dissipation for the mean case, which is much more frequent. Reconfigurable router
architecture for NOC is designed for processing elements communicate over a second
communication level using direct-links between another node elements. Several possibilities to use the
router as additional resources to enhance complexity of modules are presented. The reconfigurable router
is evaluated in terms of area, speed and latencies. The proposed router was described in VHDL and used
the ModelSim tool to simulate the code. Analyses the average power consumption, area, and frequency
results to a standard cell library using the Design Compiler tool. With the reconfigurable router it was
possible to reduce the congestion in the network, while at the same time reducing power dissipation and
improving energy.
Optical Burst Switching (OBS) is a data
switching technique for upcoming computer networks,
since this technique can perform data switching and
data processing operations with high speed and
efficiency. Its main goal is to get rid of problems found
in two main techniques, which are, Optical Circuit
Switching (OCS) and Optical Packet Switching (OPS).
Routing deflection methods route bursts to other output
ports, in fact it is not intended to resolve contention. In
OBS, when burst is not programmed on other output
port through routing deflection then it is simply deleted.
To route data to the receiver, the routing deflection does
not resolve all aspects that resulted loss of data;
therefore, the performance of schemes deflection
existence is not acceptable by the systems and so it
closes with deletion any plan to resolving contention,
backtrack if deflection fails (BDF), that is given as a
second opportunity to burst in order to face the failure
through deflection, the blocked bursts will return to the
previous station, to find suitable alternative available
paths.
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPVLSICS Design
Traditional System-on-Chip (SoC) design employed shared buses for data transfer among various subsystems. As SoCs become more complex involving a larger number of subsystems, traditional busbased architecture is giving way to a new paradigm for on-chip communication. This paradigm is called Network-on-Chip (NoC). A communication network of point-to-point links and routing switches is used to facilitate communication between subsystems. The routing switch proposed in this paper consists of four components, namely the input ports, output ports, switching fabric, and scheduler. The scheduler design is described in this paper. The function of the scheduler is to arbitrate between requests by data packets for use of the switching fabric. The scheduler uses an improved round robin based arbitration algorithm. Due to the symmetric structure of the scheduler, an area-efficient design is proposed by folding the scheduler onto itself, thereby reducing its area roughly by 50%.
Crdom cell re ordering based domino on-the-fly mappingVLSICS Design
This Domino logic is often the choice for designing high speed CMOS circuits. Often VLSI designers
choose library based approaches to perform technology mapping of large scale circuits involving static
CMOS logic style. Cells designed using Domino logic style have the flexibility to accommodate wide range
of functions in them. Hence, there is a scope to adopt a library free synthesis approach for circuits
designed using Domino logic. In this work, we present an approach for mapping a domino logic circuit
using an On-the-fly technique. First, we present a node mapping algorithm which maps a given Domino
logic netlist using On-the-fly technique. Next, using an Equivalence Table, we re-order the cells along the
critical path for delay, area benefit. Finally, we find an optimum re-ordering set which can obtain
maximum delay savings for a minimum area penalty. We have tested the efficacy of our approach with a
set of standard benchmark circuits. Our proposed mapping approach (CRDOM) obtained 21%
improvement in area and 17% improvement in delay compared to existing work.
Optimized Link State Routing (OLSR) protocol is a proactive type of routing protocol that uses Multipoint
Relay (MPR) set as the virtual backbone structure. The existing literature has identified various issues with
respect to its backbone structure and has accordingly proposed improvements. In this paper, the focus is on
improving the OLSR protocol by employing a Connected Dominating Set (CDS) based virtual backbone
structure that is dynamically adaptable to rapid topology changes. A new Dynamically Adaptable Improved
Optimized Link State Routing (DA-IOLSR) protocol is proposed that uses the local topology information to
adapt the virtual backbone to topology changes. This assumes significance especially in networks that
experience very high mobility. Changes in the network topology caused by node additions, node deletions
and node mobility are taken care of. Simulations are carried out to assess the performance of DA-IOLSR
protocol and OLSR protocol. Packet delivery achieved by both the protocols is examined under varying
mobility by using various combinations of node speed and pause time values. It is found that DA-IOLSR
protocol provides better packet delivery as compared to OLSR protocol, under varying mobility conditions.
Topology Design of Extended Torus and Ring for Low Latency Network-on-Chip Ar...TELKOMNIKA JOURNAL
In essence, Network-on-Chip (NoC) also known as on-chip interconnection network has been
proposed as a design solution to System-on-Chip (SoC). The routing algorithm, topology and switching
technique are significant because of the most influential effect on the overall performance of Network-on-
Chip (NoC). Designing of large scale topology alongside the support of deadlock free, low latency, high
throughput and low power consumption is notably challenging in particular with expanding network size.
This paper proposed an 8x8 XX-Torus and 64 nodes XX-Ring topology schemes for Network-on-Chip to
minimize the latency by decrease the node diameter from the source node to destination node.
Correspondingly, we compare in differences on the performance of mesh, full-mesh, torus and ring
topologies with XX-Torus and XX-Ring topologies in term of latency. Results show that XX-Ring
outperforms the conventional topologies in term of latency. XX-Ring decreases the average latency by
106.28%, 14.80%, 6.7 1%, 1.73%, 442.24% over the mesh, fully-mesh, torus, XX-torus, and Ring
topologies.
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
The design of more complex systems becomes an increasingly difficult task because of different issues
related to latency, design reuse, throughput and cost that has to be considered while designing. In
Real-time applications there are different communication needs among the cores. When NoCs (Networks
on chip) are the means to interconnect the cores, use of some techniques to optimize the
communication are indispensable. From the performance point of view, large buffer sizes ensure
performance during different applications execution. But unfortunately, these same buffers are the main
responsible for the router total power dissipation. Another aspect is that by sizing buffers for the worst case
latency incurs in extra dissipation for the mean case, which is much more frequent. Reconfigurable router
architecture for NOC is designed for processing elements communicate over a second
communication level using direct-links between another node elements. Several possibilities to use the
router as additional resources to enhance complexity of modules are presented. The reconfigurable router
is evaluated in terms of area, speed and latencies. The proposed router was described in VHDL and used
the ModelSim tool to simulate the code. Analyses the average power consumption, area, and frequency
results to a standard cell library using the Design Compiler tool. With the reconfigurable router it was
possible to reduce the congestion in the network, while at the same time reducing power dissipation and
improving energy.
Optical Burst Switching (OBS) is a data
switching technique for upcoming computer networks,
since this technique can perform data switching and
data processing operations with high speed and
efficiency. Its main goal is to get rid of problems found
in two main techniques, which are, Optical Circuit
Switching (OCS) and Optical Packet Switching (OPS).
Routing deflection methods route bursts to other output
ports, in fact it is not intended to resolve contention. In
OBS, when burst is not programmed on other output
port through routing deflection then it is simply deleted.
To route data to the receiver, the routing deflection does
not resolve all aspects that resulted loss of data;
therefore, the performance of schemes deflection
existence is not acceptable by the systems and so it
closes with deletion any plan to resolving contention,
backtrack if deflection fails (BDF), that is given as a
second opportunity to burst in order to face the failure
through deflection, the blocked bursts will return to the
previous station, to find suitable alternative available
paths.
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPVLSICS Design
Traditional System-on-Chip (SoC) design employed shared buses for data transfer among various subsystems. As SoCs become more complex involving a larger number of subsystems, traditional busbased architecture is giving way to a new paradigm for on-chip communication. This paradigm is called Network-on-Chip (NoC). A communication network of point-to-point links and routing switches is used to facilitate communication between subsystems. The routing switch proposed in this paper consists of four components, namely the input ports, output ports, switching fabric, and scheduler. The scheduler design is described in this paper. The function of the scheduler is to arbitrate between requests by data packets for use of the switching fabric. The scheduler uses an improved round robin based arbitration algorithm. Due to the symmetric structure of the scheduler, an area-efficient design is proposed by folding the scheduler onto itself, thereby reducing its area roughly by 50%.
Crdom cell re ordering based domino on-the-fly mappingVLSICS Design
This Domino logic is often the choice for designing high speed CMOS circuits. Often VLSI designers
choose library based approaches to perform technology mapping of large scale circuits involving static
CMOS logic style. Cells designed using Domino logic style have the flexibility to accommodate wide range
of functions in them. Hence, there is a scope to adopt a library free synthesis approach for circuits
designed using Domino logic. In this work, we present an approach for mapping a domino logic circuit
using an On-the-fly technique. First, we present a node mapping algorithm which maps a given Domino
logic netlist using On-the-fly technique. Next, using an Equivalence Table, we re-order the cells along the
critical path for delay, area benefit. Finally, we find an optimum re-ordering set which can obtain
maximum delay savings for a minimum area penalty. We have tested the efficacy of our approach with a
set of standard benchmark circuits. Our proposed mapping approach (CRDOM) obtained 21%
improvement in area and 17% improvement in delay compared to existing work.
Optimized Link State Routing (OLSR) protocol is a proactive type of routing protocol that uses Multipoint
Relay (MPR) set as the virtual backbone structure. The existing literature has identified various issues with
respect to its backbone structure and has accordingly proposed improvements. In this paper, the focus is on
improving the OLSR protocol by employing a Connected Dominating Set (CDS) based virtual backbone
structure that is dynamically adaptable to rapid topology changes. A new Dynamically Adaptable Improved
Optimized Link State Routing (DA-IOLSR) protocol is proposed that uses the local topology information to
adapt the virtual backbone to topology changes. This assumes significance especially in networks that
experience very high mobility. Changes in the network topology caused by node additions, node deletions
and node mobility are taken care of. Simulations are carried out to assess the performance of DA-IOLSR
protocol and OLSR protocol. Packet delivery achieved by both the protocols is examined under varying
mobility by using various combinations of node speed and pause time values. It is found that DA-IOLSR
protocol provides better packet delivery as compared to OLSR protocol, under varying mobility conditions.
Topology Design of Extended Torus and Ring for Low Latency Network-on-Chip Ar...TELKOMNIKA JOURNAL
In essence, Network-on-Chip (NoC) also known as on-chip interconnection network has been
proposed as a design solution to System-on-Chip (SoC). The routing algorithm, topology and switching
technique are significant because of the most influential effect on the overall performance of Network-on-
Chip (NoC). Designing of large scale topology alongside the support of deadlock free, low latency, high
throughput and low power consumption is notably challenging in particular with expanding network size.
This paper proposed an 8x8 XX-Torus and 64 nodes XX-Ring topology schemes for Network-on-Chip to
minimize the latency by decrease the node diameter from the source node to destination node.
Correspondingly, we compare in differences on the performance of mesh, full-mesh, torus and ring
topologies with XX-Torus and XX-Ring topologies in term of latency. Results show that XX-Ring
outperforms the conventional topologies in term of latency. XX-Ring decreases the average latency by
106.28%, 14.80%, 6.7 1%, 1.73%, 442.24% over the mesh, fully-mesh, torus, XX-torus, and Ring
topologies.
Section based hex cell routing algorithm (sbhcr)IJCNCJournal
A Hex-Cell network topology can be constructed using units of hexagon cells. It has been introduced in the literature as interconnection network suitable for large parallel computers, which can connect large number of nodes with three links per node. Although this topology exhibits attractive characteristics such as embeddability, symmetry, regularity, strong resilience, and simple routing, the previously suggested routing algorithms suffer from the high number of logical operations and the need for readdressing of nodes every time a new level is add to the network. This negatively impacts the performance of the network as it increases the execution time of these algorithms. In this paper we propose an improved optimal point to point routing algorithm for Hex-Cell network. The algorithm is based on dividing the Hex-Cell topology into six divisions, hence the name Section Based Hex-Cell Routing (SBHCR). The SBHCR algorithm is simple and preserves the advantage of the addressing scheme proposed for the Hex-Cell network. It does not depend on the depth of the network topology which leads to overcome the issue of readdressing of nodes every time a new level is added. Evaluation against two previously suggested routing algorithms has shown the superiority of SBHCR in term of less logical operations.
Network-on-Chip (NoC) is a new approach for designing the communication subsystem among IP cores in a System-on-Chip (SoC). NoC applies networking theory and related methods to on-chip communication and brings out notable improvements over conventional bus and crossbar interconnections. NoC offers a great improvement over the issues like scalability, productivity, power efficiency and signal integrity challenges of complex SoC design. In an NoC, the communication among different nodes is achieved by routing packets through a pre-designed network fabric according to some routing algorithm. Therefore, architecture and related routing algorithm play an important role to the improvement of overall performance of an NoC. A Diametrical 2D Mesh routing architecture has the facility of having some additional diagonal links with simple 2D Mesh architecture. In this work, we have proposed a Modified Extended 2D routing algorithm for this architecture, which will ensure that a packet always reaches the destination through the possible shortest path, and the path is always deadlock free.
Performance Analysis of Mesh-based NoC’s on Routing Algorithms IJECEIAES
The advent of System-on-Chip (SoCs), has brought about a need to increase the scale of multi-core chip networks. Bus Based communications have proved to be limited in terms of performance and ease of scalability, the solution to both bus – based and Point-to-Point (P2P) communication systems is to use a communication infrastructure called Network-on-Chip (NoC). Performance of NoC depends on various factors such as network topology, routing strategy and switching technique and traffic patterns. In this paper, we have taken the initiative to compile together a comparative analysis of different Network on Chip infrastructures based on the classification of routing algorithm, switching technique, and traffic patterns. The goal is to show how varied combinations of the three factors perform differently based on the size of the mesh network, using NOXIM, an open source SystemC Simulator of mesh-based NoC. The analysis has shown tenable evidence highlighting the novelty of XY routing algorithm.
A Retrial Queueing Model with Scheduling Admission Control in QoS Supporting ...IJCNCJournal
Optical burst switching (OBS) is being considered as an optical transmission technology that meets the increasing bandwidth demands and requirements of quality of service (QoS) of the next generation alloptical Internet. Due to technological limitations such as optical buffers are not yet available at core nodes, ensuring QoS in OBS networks is difficult to perform flexibly compared to electronic networks (e.g. IP network). In this paper, a combination of the prediction based on burst traffic and fibre delay links (FDL) is proposed to allocate wavelengths properly, ensuring QoS and improving the network performance. Efficiency evaluations based on mathematical analysis and simulation will confirm the advantages of our proposed model.
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...Narendra Singh Yadav
Clustering is an important research area for mobile ad hoc networks (MANETs) as it increases the capacity of network, reduces the routing overhead and makes the network more scalable in the presence of both high mobility and a large number of mobile nodes. In clustering the clusterhead manage and store recent routing information. However the frequent change of clusterhead leads to loss of routing information stored, changes the route between two nodes, affects the performance of the routing protocol and makes the cluster structure unstable. Communication overhead in terms of exchanging messages is needed to elect a new clusterhead. The goal then would be to keep the clusterhead change as least as possible to make cluster structure more stable, to prevent loss of routing information which in turn improve the performance of routing protocol based on clustering. This can be achieved by an efficient cluster maintenance scheme. In this work, a novel clustering algorithm, namely Incremental Maintenance Clustering Scheme (IMS) is proposed for Mobile Ad Hoc Networks. The goals are yielding low number of clusterhead and clustermember changes, maintaining stable clusters, minimizing the number of clustering overhead. Through simulations the performance of IMS is compared with that of least cluster change (LCC) and maintenance scheme of Cluster Based Routing Protocol (CBRP) in terms of the number of clusterhead changes, number of cluster-member changes and clustering overhead by varying mobility and speed. The simulation results demonstrate the superiority of IMS over LCC and
maintenance scheme of CBRP.
Design and Implementation of Multistage Interconnection Networks for SoC Netw...IJCSEIT Journal
In this paper the focus is on a family of Interconnection Networks (INs) known as Multistage
Interconnection Networks (MINs). When it is exploited in Network-on-Chip (NoC) architecture designs,
smaller circuit area, lower power consumption, less junctions and broader bandwidth can be achieved.
Each MIN can be considered as an alternative for an NoC architecture design for its simple topology and
easy scalability with low degree. This paper includes two major contributions. First, it compares the
performance of seven prominent MINs (i.e. Omega, Butterfly, Flattened Butterfly, Flattened Baseline,
Generalized Cube, Beneš and Clos networks) based on 45nm-CMOS technology and under different types
of Synthetic and Trace-driven workloads. Second, a network called Meta-Flattened Network (MFN), was
introduced that can decrease the blocking probability by means of reduction the number of hops and
increase the intermediate paths between stages. This is also led into significant decrease in power
consumption.
Efficient routing mechanism using cycle based network and k hop security in a...ijait
In a multi-domain network, Topology Aggregation (TA) may be adopted to provide limited information
regarding intra cluster connectivity without revealing detailed topology information. Nodes are grouped
into the cluster. Every cluster has border nodes, which is used for data transmission between source and
destination. The K-hop security can be used for the purpose of securing the data communication. The
topologies are spanning tree and balanced tree that can be used to reduce bandwidth overhead, delivery
delay and to increase throughput and packet delivery ratio. The shortest path can be found using
Bhandari’s algorithm and Cycle-Based Minimum-Cost Domain-Disjoint Paths (CMCDP) Algorithm for
establish the second path in the network . These topologies are compared to demonstrate the advantage of
finding shortest path using Bhandari’s algorithm.
TriBA(Triplet Based Architecture) is a Network on Chip processor(NoC) architecture which merges the
core philosophy of Object Oriented Design with the hardware design of multicore processors[1].We
present TriBASim in this paper, a NoC simulator specifically designed for TriBA.In TriBA ,nodes are
connected in recursive triplets .TriBA network topology performance analysis have been carried out from
different perspectives [2] and routing algorithms have been developed [3][4] but the architecture still lacks
a simulator that the researcher can use to run simple and fast behavioural analysis on the architecture
based on common parameters in the Network On Chip arena. TriBASim is introduced in this paper ,a
simulator for TriBA ,based on systemc[6] .TriBASim will lessen the burden on researchers on TriBA ,by
giving them something to just plug in desired parameters and have nodes and topology set up ready for
analysis.
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...IJCNCJournal
We consider models of telecommunication systems that incorporate probability, dense real-time and data.
We present a new formal abstraction method for computing minimum and maximum reachability
probabilities for such models. Our approach uses strictly local formal abstract steps to reduce both the size
of abstract specifications generated and the complexity of operations needed, in comparison to previous
approaches of this kind. A selection of large case studies are implemented the techniques and evaluate,
which include some infinite-state probabilistic real time models, demonstrating improvements over existing
tools in several cases. The capacity of metro and access networks are extended the reach and split ratio of
the conventional Long - Reach Passive Optical Networks (LR-PONs). The efficient solutions of LR-PONs
are appeared in feeder distances around 100km and high split ratios up to 1000-way . Among many
existing approaches, one of the most effective options to improve network performance in LR-PONs are the
multi-thread based dynamic bandwidth allocation (DBA) scheme where several bandwidth allocation
processes are performed in parallel is considered. Without proper intercommunication between the
overlapped threads, multi-thread DBA may lose efficiency and even perform worse than the conventional
single thread algorithm. Real Time Probabilistic Systems are used to evaluate a typical PON systems
performance. This approach is more convenient, flexible, and lower cost than the former simulation method,
which do not need develop special hardware and software tools. Moreover, how changes in performance
depend on changes in the particular modes can be easily analysis by supplying ranges for parameter values.
The proposed algorithm with traditional DBA is compared, and shows its advantage on average packet
delay. The key parameters of the algorithm are analysed and optimized, such as initiating and tuning
multiple threads, inter -thread scheduling, and fairness among users. The algorithms advantage in
numerical results are decreased the average packet delay and improve network throughput under varying
offered loads.
Haqr the hierarchical ant based qos aware on demand routing for manetscsandit
A Mobile Ad Hoc Network (MANET) is a collection of wireless mobile devices with no pre
existing infrastructure or centralized control. Supporting QoS during routing is a very
challenging task. Clustering is an effective method for resource management regarding network
performance, routing protocol design, QoS etc. In real time various types of nodes with different
computing and transmission power, different rolls and different mobility pattern may exist.
Hierarchical routing provides routing through this kind of heterogeneous nodes. In this paper,
HAQR, a novel ant based QoS aware routing is proposed on a three level hierarchical cluster
based topology in MANET which will be more scalable and efficient compared to flat
architecture and will give better throughput.
Many intellectual property (IP) modules are present in contemporary system on chips (SoCs). This could provide an issue with interconnection among different IP modules, which would limit the system's ability to scale. Traditional bus-based SoC architectures have a connectivity bottleneck, and network on chip (NoC) has evolved as an embedded switching network to address this issue. The interconnections between various cores or IP modules on a chip have a significant impact on communication and chip performance in terms of power, area latency and throughput. Also, designing a reliable fault tolerant NoC became a significant concern. In fault tolerant NoC it becomes critical to identify faulty node and dynamically reroute the packets keeping minimum latency. This study provides an insight into a domain of NoC, with intention of understanding fault tolerant approach based on the XY routing algorithm for 4×4 mesh architecture. The fault tolerant NoC design is synthesized on field programmable gate array (FPGA).
Greetings from IGeekS Technologies ….
We were humbled to receive your enquiry regarding your academic project. We assure you to give all kinds of guidance for you to successfully complete your project.
IGeekS Technologies is a company located in Bangalore, India. We have being recognized as a quality provider of hardware and software solutions for the student’s in order carry out their academic Projects. We offer academic projects at various academic levels ranging from graduates to masters (Diploma, BCA, BE, M. Tech, MCA, M. Sc (CS/IT)). As a part of the development training, we offer Projects in Embedded Systems & Software to the Engineering College students in all major disciplines.
Academic Projects
As a part of our vision to provide a field experience to young graduates, we offering academic projects to MCA/B.Tech/BE/M.Tech/BCA students. Normally our way of project guidance will start with in-depth training. Why because unless and until a student know the technology, he cannot implement a project. We designed such courses based on industry requirements.
Placements
Our support never ends with training. We are maintaining a dedicated consulting division with 5 HR executives to assist our students to find good opportunities. Once a student finishes his course and project, immediately we will collect their profiles and will contact with the companies. Since January 2010, more than 450 students got placed with the help of our quality training, project assistance and placement support.
Facilities
• Project confirmation and completion certificate.
• Project base paper, synopsis and PPT.
• In-depth training by industry experts
• Project guidance from experienced people
• Regular seminars and group discussions
• Lab facility
• Good placement assistance
• A CD which contains all the required softwares and materials.
• Lab modules with 100s of examples to improve students programming skills.
Please visit our websites for further information:-
www.makefinalyearproject.com
www.igeekstechnoloiges.com
We look forward to have you in our office for a detailed technical discussion for in-depth understanding of the base paper and synopsis. Our training methodology includes to first prepare the candidates to the relevant technology used in the selected project and then start the project implementation; this gives the candidate the pre-requisite knowledge to understand not only the project but also the code in which the project is implemented.The program concludes by issuing of project completion certificate from our organization.
We attached the proposed project titles for the academic year 2015. Find the attachment. Select the titles we will send the synopsis and base paper...If have any own topic (base paper) pls send us.we will check and confirm the implementation.
We will explain the base paper and synopsis, for technical discussion or admission contact Mr. Nandu-9590544567.
Greetings from IGeekS Technologies ….
We were humbled to receive your enquiry regarding your academic project. We assure you to give all kinds of guidance for you to successfully complete your project.
IGeekS Technologies is a company located in Bangalore, India. We have being recognized as a quality provider of hardware and software solutions for the student’s in order carry out their academic Projects. We offer academic projects at various academic levels ranging from graduates to masters (Diploma, BCA, BE, M. Tech, MCA, M. Sc (CS/IT)). As a part of the development training, we offer Projects in Embedded Systems & Software to the Engineering College students in all major disciplines.
Academic Projects
As a part of our vision to provide a field experience to young graduates, we offering academic projects to MCA/B.Tech/BE/M.Tech/BCA students. Normally our way of project guidance will start with in-depth training. Why because unless and until a student know the technology, he cannot implement a project. We designed such courses based on industry requirements.
Placements
Our support never ends with training. We are maintaining a dedicated consulting division with 5 HR executives to assist our students to find good opportunities. Once a student finishes his course and project, immediately we will collect their profiles and will contact with the companies. Since January 2010, more than 450 students got placed with the help of our quality training, project assistance and placement support.
Facilities
• Project confirmation and completion certificate.
• Project base paper, synopsis and PPT.
• In-depth training by industry experts
• Project guidance from experienced people
• Regular seminars and group discussions
• Lab facility
• Good placement assistance
• A CD which contains all the required softwares and materials.
• Lab modules with 100s of examples to improve students programming skills.
Please visit our websites for further information:-
www.makefinalyearproject.com
www.igeekstechnoloiges.com
We look forward to have you in our office for a detailed technical discussion for in-depth understanding of the base paper and synopsis. Our training methodology includes to first prepare the candidates to the relevant technology used in the selected project and then start the project implementation; this gives the candidate the pre-requisite knowledge to understand not only the project but also the code in which the project is implemented.The program concludes by issuing of project completion certificate from our organization.
We attached the proposed project titles for the academic year 2015. Find the attachment. Select the titles we will send the synopsis and base paper...If have any own topic (base paper) pls send us.we will check and confirm the implementation.
We will explain the base paper and synopsis, for technical discussion or admission contact Mr. Nandu-9590544567.
Section based hex cell routing algorithm (sbhcr)IJCNCJournal
A Hex-Cell network topology can be constructed using units of hexagon cells. It has been introduced in the literature as interconnection network suitable for large parallel computers, which can connect large number of nodes with three links per node. Although this topology exhibits attractive characteristics such as embeddability, symmetry, regularity, strong resilience, and simple routing, the previously suggested routing algorithms suffer from the high number of logical operations and the need for readdressing of nodes every time a new level is add to the network. This negatively impacts the performance of the network as it increases the execution time of these algorithms. In this paper we propose an improved optimal point to point routing algorithm for Hex-Cell network. The algorithm is based on dividing the Hex-Cell topology into six divisions, hence the name Section Based Hex-Cell Routing (SBHCR). The SBHCR algorithm is simple and preserves the advantage of the addressing scheme proposed for the Hex-Cell network. It does not depend on the depth of the network topology which leads to overcome the issue of readdressing of nodes every time a new level is added. Evaluation against two previously suggested routing algorithms has shown the superiority of SBHCR in term of less logical operations.
Network-on-Chip (NoC) is a new approach for designing the communication subsystem among IP cores in a System-on-Chip (SoC). NoC applies networking theory and related methods to on-chip communication and brings out notable improvements over conventional bus and crossbar interconnections. NoC offers a great improvement over the issues like scalability, productivity, power efficiency and signal integrity challenges of complex SoC design. In an NoC, the communication among different nodes is achieved by routing packets through a pre-designed network fabric according to some routing algorithm. Therefore, architecture and related routing algorithm play an important role to the improvement of overall performance of an NoC. A Diametrical 2D Mesh routing architecture has the facility of having some additional diagonal links with simple 2D Mesh architecture. In this work, we have proposed a Modified Extended 2D routing algorithm for this architecture, which will ensure that a packet always reaches the destination through the possible shortest path, and the path is always deadlock free.
Performance Analysis of Mesh-based NoC’s on Routing Algorithms IJECEIAES
The advent of System-on-Chip (SoCs), has brought about a need to increase the scale of multi-core chip networks. Bus Based communications have proved to be limited in terms of performance and ease of scalability, the solution to both bus – based and Point-to-Point (P2P) communication systems is to use a communication infrastructure called Network-on-Chip (NoC). Performance of NoC depends on various factors such as network topology, routing strategy and switching technique and traffic patterns. In this paper, we have taken the initiative to compile together a comparative analysis of different Network on Chip infrastructures based on the classification of routing algorithm, switching technique, and traffic patterns. The goal is to show how varied combinations of the three factors perform differently based on the size of the mesh network, using NOXIM, an open source SystemC Simulator of mesh-based NoC. The analysis has shown tenable evidence highlighting the novelty of XY routing algorithm.
A Retrial Queueing Model with Scheduling Admission Control in QoS Supporting ...IJCNCJournal
Optical burst switching (OBS) is being considered as an optical transmission technology that meets the increasing bandwidth demands and requirements of quality of service (QoS) of the next generation alloptical Internet. Due to technological limitations such as optical buffers are not yet available at core nodes, ensuring QoS in OBS networks is difficult to perform flexibly compared to electronic networks (e.g. IP network). In this paper, a combination of the prediction based on burst traffic and fibre delay links (FDL) is proposed to allocate wavelengths properly, ensuring QoS and improving the network performance. Efficiency evaluations based on mathematical analysis and simulation will confirm the advantages of our proposed model.
A Low Control Overhead Cluster Maintenance Scheme for Mobile Ad hoc NETworks ...Narendra Singh Yadav
Clustering is an important research area for mobile ad hoc networks (MANETs) as it increases the capacity of network, reduces the routing overhead and makes the network more scalable in the presence of both high mobility and a large number of mobile nodes. In clustering the clusterhead manage and store recent routing information. However the frequent change of clusterhead leads to loss of routing information stored, changes the route between two nodes, affects the performance of the routing protocol and makes the cluster structure unstable. Communication overhead in terms of exchanging messages is needed to elect a new clusterhead. The goal then would be to keep the clusterhead change as least as possible to make cluster structure more stable, to prevent loss of routing information which in turn improve the performance of routing protocol based on clustering. This can be achieved by an efficient cluster maintenance scheme. In this work, a novel clustering algorithm, namely Incremental Maintenance Clustering Scheme (IMS) is proposed for Mobile Ad Hoc Networks. The goals are yielding low number of clusterhead and clustermember changes, maintaining stable clusters, minimizing the number of clustering overhead. Through simulations the performance of IMS is compared with that of least cluster change (LCC) and maintenance scheme of Cluster Based Routing Protocol (CBRP) in terms of the number of clusterhead changes, number of cluster-member changes and clustering overhead by varying mobility and speed. The simulation results demonstrate the superiority of IMS over LCC and
maintenance scheme of CBRP.
Design and Implementation of Multistage Interconnection Networks for SoC Netw...IJCSEIT Journal
In this paper the focus is on a family of Interconnection Networks (INs) known as Multistage
Interconnection Networks (MINs). When it is exploited in Network-on-Chip (NoC) architecture designs,
smaller circuit area, lower power consumption, less junctions and broader bandwidth can be achieved.
Each MIN can be considered as an alternative for an NoC architecture design for its simple topology and
easy scalability with low degree. This paper includes two major contributions. First, it compares the
performance of seven prominent MINs (i.e. Omega, Butterfly, Flattened Butterfly, Flattened Baseline,
Generalized Cube, Beneš and Clos networks) based on 45nm-CMOS technology and under different types
of Synthetic and Trace-driven workloads. Second, a network called Meta-Flattened Network (MFN), was
introduced that can decrease the blocking probability by means of reduction the number of hops and
increase the intermediate paths between stages. This is also led into significant decrease in power
consumption.
Efficient routing mechanism using cycle based network and k hop security in a...ijait
In a multi-domain network, Topology Aggregation (TA) may be adopted to provide limited information
regarding intra cluster connectivity without revealing detailed topology information. Nodes are grouped
into the cluster. Every cluster has border nodes, which is used for data transmission between source and
destination. The K-hop security can be used for the purpose of securing the data communication. The
topologies are spanning tree and balanced tree that can be used to reduce bandwidth overhead, delivery
delay and to increase throughput and packet delivery ratio. The shortest path can be found using
Bhandari’s algorithm and Cycle-Based Minimum-Cost Domain-Disjoint Paths (CMCDP) Algorithm for
establish the second path in the network . These topologies are compared to demonstrate the advantage of
finding shortest path using Bhandari’s algorithm.
TriBA(Triplet Based Architecture) is a Network on Chip processor(NoC) architecture which merges the
core philosophy of Object Oriented Design with the hardware design of multicore processors[1].We
present TriBASim in this paper, a NoC simulator specifically designed for TriBA.In TriBA ,nodes are
connected in recursive triplets .TriBA network topology performance analysis have been carried out from
different perspectives [2] and routing algorithms have been developed [3][4] but the architecture still lacks
a simulator that the researcher can use to run simple and fast behavioural analysis on the architecture
based on common parameters in the Network On Chip arena. TriBASim is introduced in this paper ,a
simulator for TriBA ,based on systemc[6] .TriBASim will lessen the burden on researchers on TriBA ,by
giving them something to just plug in desired parameters and have nodes and topology set up ready for
analysis.
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...IJCNCJournal
We consider models of telecommunication systems that incorporate probability, dense real-time and data.
We present a new formal abstraction method for computing minimum and maximum reachability
probabilities for such models. Our approach uses strictly local formal abstract steps to reduce both the size
of abstract specifications generated and the complexity of operations needed, in comparison to previous
approaches of this kind. A selection of large case studies are implemented the techniques and evaluate,
which include some infinite-state probabilistic real time models, demonstrating improvements over existing
tools in several cases. The capacity of metro and access networks are extended the reach and split ratio of
the conventional Long - Reach Passive Optical Networks (LR-PONs). The efficient solutions of LR-PONs
are appeared in feeder distances around 100km and high split ratios up to 1000-way . Among many
existing approaches, one of the most effective options to improve network performance in LR-PONs are the
multi-thread based dynamic bandwidth allocation (DBA) scheme where several bandwidth allocation
processes are performed in parallel is considered. Without proper intercommunication between the
overlapped threads, multi-thread DBA may lose efficiency and even perform worse than the conventional
single thread algorithm. Real Time Probabilistic Systems are used to evaluate a typical PON systems
performance. This approach is more convenient, flexible, and lower cost than the former simulation method,
which do not need develop special hardware and software tools. Moreover, how changes in performance
depend on changes in the particular modes can be easily analysis by supplying ranges for parameter values.
The proposed algorithm with traditional DBA is compared, and shows its advantage on average packet
delay. The key parameters of the algorithm are analysed and optimized, such as initiating and tuning
multiple threads, inter -thread scheduling, and fairness among users. The algorithms advantage in
numerical results are decreased the average packet delay and improve network throughput under varying
offered loads.
Haqr the hierarchical ant based qos aware on demand routing for manetscsandit
A Mobile Ad Hoc Network (MANET) is a collection of wireless mobile devices with no pre
existing infrastructure or centralized control. Supporting QoS during routing is a very
challenging task. Clustering is an effective method for resource management regarding network
performance, routing protocol design, QoS etc. In real time various types of nodes with different
computing and transmission power, different rolls and different mobility pattern may exist.
Hierarchical routing provides routing through this kind of heterogeneous nodes. In this paper,
HAQR, a novel ant based QoS aware routing is proposed on a three level hierarchical cluster
based topology in MANET which will be more scalable and efficient compared to flat
architecture and will give better throughput.
Many intellectual property (IP) modules are present in contemporary system on chips (SoCs). This could provide an issue with interconnection among different IP modules, which would limit the system's ability to scale. Traditional bus-based SoC architectures have a connectivity bottleneck, and network on chip (NoC) has evolved as an embedded switching network to address this issue. The interconnections between various cores or IP modules on a chip have a significant impact on communication and chip performance in terms of power, area latency and throughput. Also, designing a reliable fault tolerant NoC became a significant concern. In fault tolerant NoC it becomes critical to identify faulty node and dynamically reroute the packets keeping minimum latency. This study provides an insight into a domain of NoC, with intention of understanding fault tolerant approach based on the XY routing algorithm for 4×4 mesh architecture. The fault tolerant NoC design is synthesized on field programmable gate array (FPGA).
Greetings from IGeekS Technologies ….
We were humbled to receive your enquiry regarding your academic project. We assure you to give all kinds of guidance for you to successfully complete your project.
IGeekS Technologies is a company located in Bangalore, India. We have being recognized as a quality provider of hardware and software solutions for the student’s in order carry out their academic Projects. We offer academic projects at various academic levels ranging from graduates to masters (Diploma, BCA, BE, M. Tech, MCA, M. Sc (CS/IT)). As a part of the development training, we offer Projects in Embedded Systems & Software to the Engineering College students in all major disciplines.
Academic Projects
As a part of our vision to provide a field experience to young graduates, we offering academic projects to MCA/B.Tech/BE/M.Tech/BCA students. Normally our way of project guidance will start with in-depth training. Why because unless and until a student know the technology, he cannot implement a project. We designed such courses based on industry requirements.
Placements
Our support never ends with training. We are maintaining a dedicated consulting division with 5 HR executives to assist our students to find good opportunities. Once a student finishes his course and project, immediately we will collect their profiles and will contact with the companies. Since January 2010, more than 450 students got placed with the help of our quality training, project assistance and placement support.
Facilities
• Project confirmation and completion certificate.
• Project base paper, synopsis and PPT.
• In-depth training by industry experts
• Project guidance from experienced people
• Regular seminars and group discussions
• Lab facility
• Good placement assistance
• A CD which contains all the required softwares and materials.
• Lab modules with 100s of examples to improve students programming skills.
Please visit our websites for further information:-
www.makefinalyearproject.com
www.igeekstechnoloiges.com
We look forward to have you in our office for a detailed technical discussion for in-depth understanding of the base paper and synopsis. Our training methodology includes to first prepare the candidates to the relevant technology used in the selected project and then start the project implementation; this gives the candidate the pre-requisite knowledge to understand not only the project but also the code in which the project is implemented.The program concludes by issuing of project completion certificate from our organization.
We attached the proposed project titles for the academic year 2015. Find the attachment. Select the titles we will send the synopsis and base paper...If have any own topic (base paper) pls send us.we will check and confirm the implementation.
We will explain the base paper and synopsis, for technical discussion or admission contact Mr. Nandu-9590544567.
Greetings from IGeekS Technologies ….
We were humbled to receive your enquiry regarding your academic project. We assure you to give all kinds of guidance for you to successfully complete your project.
IGeekS Technologies is a company located in Bangalore, India. We have being recognized as a quality provider of hardware and software solutions for the student’s in order carry out their academic Projects. We offer academic projects at various academic levels ranging from graduates to masters (Diploma, BCA, BE, M. Tech, MCA, M. Sc (CS/IT)). As a part of the development training, we offer Projects in Embedded Systems & Software to the Engineering College students in all major disciplines.
Academic Projects
As a part of our vision to provide a field experience to young graduates, we offering academic projects to MCA/B.Tech/BE/M.Tech/BCA students. Normally our way of project guidance will start with in-depth training. Why because unless and until a student know the technology, he cannot implement a project. We designed such courses based on industry requirements.
Placements
Our support never ends with training. We are maintaining a dedicated consulting division with 5 HR executives to assist our students to find good opportunities. Once a student finishes his course and project, immediately we will collect their profiles and will contact with the companies. Since January 2010, more than 450 students got placed with the help of our quality training, project assistance and placement support.
Facilities
• Project confirmation and completion certificate.
• Project base paper, synopsis and PPT.
• In-depth training by industry experts
• Project guidance from experienced people
• Regular seminars and group discussions
• Lab facility
• Good placement assistance
• A CD which contains all the required softwares and materials.
• Lab modules with 100s of examples to improve students programming skills.
Please visit our websites for further information:-
www.makefinalyearproject.com
www.igeekstechnoloiges.com
We look forward to have you in our office for a detailed technical discussion for in-depth understanding of the base paper and synopsis. Our training methodology includes to first prepare the candidates to the relevant technology used in the selected project and then start the project implementation; this gives the candidate the pre-requisite knowledge to understand not only the project but also the code in which the project is implemented.The program concludes by issuing of project completion certificate from our organization.
We attached the proposed project titles for the academic year 2015. Find the attachment. Select the titles we will send the synopsis and base paper...If have any own topic (base paper) pls send us.we will check and confirm the implementation.
We will explain the base paper and synopsis, for technical discussion or admission contact Mr. Nandu-9590544567.
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
The processor designing and development was designed to perform various complex logical information exchange and processing operations in a variety of resolutions. They mainly rely on concurrent and sync, both that of the software and hardware to enhance the productivity and performance. With the high speed growth approaching multi-billion transistor integration era, some of the main problems which are symbolized by all gate lengths in the range of 60-90 nm, will be from non-scalable delays generated by wire. All similar problems may be solved by using Network on Chip (NOC) systems. In the presented paper, we have summarized research papers and contributions in NOC area. With advancement in the technology in the on chip communication, faster interaction between devices is becoming vital. Network on Chip (NOC) can be one of the solutions for faster on chip communication. For efficient link between devices of NOC, routers are needed. This paper also reviews implementation of routing techniques. The use of routing gives higher throughput as required for dealing with complexity of modern systems. It is mainly focused on the routing design parameters on both system level including traffic pattern, network topology and routing algorithm, and architecture level including arbitration algorithm.
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGAVLSICS Design
Adders form an almost obligatory component of every contemporary integrated circuit. The prerequisite of the adder is that it is primarily fast and secondarily efficient in terms of power consumption and chip area. Therefore, careful optimization of the adder is of the greatest importance. This optimization can be attained
in two levels; it can be circuit or logic optimization. In circuit optimization the size of transistors are manipulated, where as in logic optimization the Boolean equations are rearranged (or manipulated) to optimize speed, area and power consumption. This paper focuses the optimization of adder through technology independent mapping. The work presents 20 different logical construction of 1-bit adder cell in CMOS logic and its performance is analyzed in terms of transistor count, delay and power dissipation. These performance issues are analyzed through Tanner EDA with TSMC MOSIS 250nm technology. From this analysis the optimized equation is chosen to construct a full adder circuit in terms of multiplexer. This logic optimized multiplexer based adders are incorporated in selected existing adders like ripple carry
adder, carry look-ahead adder, carry skip adder, carry select adder, carry increment adder and carry save adder and its performance is analyzed in terms of area (slices used) and maximum combinational path delay as a function of size. The target FPGA device chosen for the implementation of these adders was Xilinx ISE 12.1 Spartan3E XC3S500-5FG320. Each adder type was implemented with bit sizes of: 8, 16, 32, 64 bits. This variety of sizes will provide with more insight about the performance of each adder in terms of area and delay as a function of size.
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipVLSICS Design
Traditional System-on-Chip (SoC) design employed shared buses for data transfer among various subsystems. As SoCs become more complex involving a larger number of subsystems, traditional busbased architecture is giving way to a new paradigm for on-chip communication. This paradigm is called Network-on-Chip (NoC). A communication network of point-to-point links and routing switches is used to facilitate communication between subsystems. The routing switch proposed in this paper consists of four components, namely the input ports, output ports, switching fabric, and scheduler. The scheduler design is described in this paper. The function of the scheduler is to arbitrate between requests by data packets for use of the switching fabric. The scheduler uses an improved round robin based arbitration algorithm. Due to the symmetric structure of the scheduler, an area-efficient design is proposed by folding the scheduler onto itself, thereby reducing its area roughly by 50%.
A Flexible Software/Hardware Adaptive Network for Embedded Distributed Archit...csijjournal
Embedded platforms are projected to integrate hundreds of cores in the near future, and expanding the interconnection network remains a key challenge. We propose SNet, a new Scalable NETwork paradigm that extends the NoCs area to include a software/hardware dynamic routing mechanism. To design routing pathways among communicating processes, it uses a distributed, adaptive, non-supervised routing method based on the ACO algorithm (Ant Colony Optimization). A small footprint hardware unit called DMC speeds up data transfer (Direct Management of Communications). SNet has the benefit of being extremely versatile, allowing for the creation of a broad range of routing topologies to meet the needs of various applications. We provide the DMC module in this work and assess SNet performance by executing a large number of test cases.
A FLEXIBLE SOFTWARE/HARDWARE ADAPTIVE NETWORK FOR EMBEDDED DISTRIBUTED ARCHIT...csijjournal
Embedded platforms are projected to integrate hundreds of cores in the near future, and expanding the
interconnection network remains a key challenge. We propose SNet, a new Scalable NETwork paradigm
that extends the NoCs area to include a software/hardware dynamic routing mechanism. To design routing
pathways among communicating processes, it uses a distributed, adaptive, non-supervised routing method
based on the ACO algorithm (Ant Colony Optimization). A small footprint hardware unit called DMC
speeds up data transfer (Direct Management of Communications). SNet has the benefit of being extremely
versatile, allowing for the creation of a broad range of routing topologies to meet the needs of various
applications. We provide the DMC module in this work and assess SNet performance by executing a large
number of test cases.
An octa core processor with shared memory and message-passingeSAT Journals
Abstract This being the era of fast, high performance computing, there is the need of having efficient optimizations in the processor architecture and at the same time in memory hierarchy too. Each and every day, the advancement of applications in communication and multimedia systems are compelling to increase number of cores in the main processor viz., dual-core, quad-core, octa-core and so on. But, for enhancing the overall performance of multi processor chip, there are stringent requirements to improve inter-core synchronization. Thus, a MPSoC with 8-cores supporting both message-passing and shared-memory inter-core communication mechanisms is implemented on Virtex 5 LX110T FPGA. Each core is based on MIPS III (Microprocessor without interlocked pipelined stages) ISA, handling only integer type instructions and having six-stage pipeline with data hazard detection unit and forwarding logic. The eight processing cores and one central shared memory core are inter connected using 3x3 2-D mesh topology based Network-on-chip (NoC) with virtual channel router. The router is four stage pipelined supporting DOR X-Y routing algorithm and with round robin arbitration technique. For verification and functionality test of above fully synthesized multi core processor, matrix multiplication operation is mapped onto the above said. Partitioning and scheduling of multiple multiplications and addition for each element of resultant matrix has been done accordingly among eight cores to get maximum throughput. All the codes for processor design are written in Verilog HDL. Keywords: MPSoC, message-passing, shared memory, MIPS, ISA, wormhole router, network-on-chip, SIMD, data level parallelism, 2-D Mesh, virtual channel
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...VLSICS Design
The scalability, modularity and massive parallelism exhibited by Network on chip(NoC) interconnects
make them highly suitable for the inter core communication framework of multiprocessor system-on-chip
(MPSoC) designs. Routers play the most vital role in transferring flits through the network, hence efficient
microarchitecture and cost effective routing algorithms are highly essential for modern NoC routers.
Elimination of buffers and deflection routing help to achieve energy and area efficiency of these routers.
The advantages of bufferless and buffered designs can be combined by using a minimum number of side
buffers to store a fraction of deflection flits in the router. In this paper, we propose a routing algorithm
based on weighted deflection of flits for minimally buffered deflection routers. Evaluations on 4x4 and 8x8
mesh NoC using synthetic workloads as well as benchmark applications demonstrate that deflection rate
and average network latency are significantly reduced in comparison with the state of the art NoC routers.
Performance analysis of the newly proposed algorithm shows that the network saturation point improves
by 26% compared to earlier designs in this domain
DIA-TORUS:A NOVEL TOPOLOGY FOR NETWORK ON CHIP DESIGNIJCNCJournal
The shortcomings of conventional bus architectures are in terms of scalability and the ever increasing
demand of more bandwidth. And also the feature size of sub-micron domain is decreasing making it
difficult for bus architectures to fulfill the requirements of modern System on Chip (SoC) systems. Network
on chip (NoC) architectures presents a solution to the earlier mentioned shortcomings by employing a
packet based network for inter IP communications. A pivotal feature of NoC systems is the topology in
which the system is arranged. Several parameters which are topology dependent like hop count, path
diversity, degree and other various parameters affect the system performance. We propose a novel
topology forNoC architecture which has been thoroughly compared with the existing topologies on the
basis of different network parameters.
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSIAEME Publication
An efficient Priority-Arbiter based Router is designed along with 2X2 and 3X3 mesh
topology based NOC architecture are designed. The Priority –Arbiter based Router
design includes Input registers, Priority arbiter, and XY- Routing algorithm. The
Priority-Arbiter based Router and NOC 2X2 and 3X3 Router designs are synthesized
and implemented using Xilinx ISE Tool and simulated using Modelsim6.5f. The
implementation is done by Artix-7 FPGA device, and the physically debugging of the
NOC 2X2 Router design is verified using Chipscope pro tool. The performance results
are analyzed in terms of the Area (Slices, LUT’s), Timing period, and Maximum
operating frequency. The comparison of the Priority-Arbiter based Router is made
concerning previous similar architecture with improvements.
FPGA IMPLEMENTATION OF PRIORITYARBITER BASED ROUTER DESIGN FOR NOC SYSTEMSIAEME Publication
An efficient Priority-Arbiter based Router is designed along with 2X2 and 3X3 mesh
topology based NOC architecture are designed. The Priority –Arbiter based Router
design includes Input registers, Priority arbiter, and XY- Routing algorithm. The
Priority-Arbiter based Router and NOC 2X2 and 3X3 Router designs are synthesized
and implemented using Xilinx ISE Tool and simulated using Modelsim6.5f. The
implementation is done by Artix-7 FPGA device, and the physically debugging of the
NOC 2X2 Router design is verified using Chipscope pro tool. The performance results
are analyzed in terms of the Area (Slices, LUT’s), Timing period, and Maximum
operating frequency. The comparison of the Priority-Arbiter based Router is made
concerning previous similar architecture with improvements.
An efficient asynchronous spatial division multiplexing router for network-on...IJECEIAES
The quasi-delay-insensitive (QDI) based asynchronous network-on-chip
(ANoC) has several advantages over clock-based synchronous network-onchips
(NoCs). The asynchronous router uses a virtual channel (VC) as a
primary flow-control mechanism however, the spatial division multiplexing
(SDM) based mechanism performs better over input traffics over VC. This
manuscript uses an asynchronous spatial division multiplexing (ASDM)
based router for NoC architecture on a field-programmable gate array
(FPGA) platform. The ASDM router is configurable to different bandwidths
and VCs. The ASDM router mainly contains input-output (I/O) buffers, a
switching allocator, and a crossbar unit. The 4-phase 1-of-4 dual-rail
protocol is used to construct the I/O buffers. The performance of the ASDM
router is analyzed in terms of lower urinary tract symptoms (LUTs) (chip
area), delay, latency, and throughput parameters. The work is implemented
using Verilog-HDL with Xilinx ISE 14.7 on artix-7 FPGA. The ASDM
router achieves < 1% chip area and obtains 0.8 ns of latency with a
throughput of 800 Mfps. The proposed router is compared with existing
asynchronous approaches with improved latency and throughput metrics.
Noise Tolerant and Faster On Chip Communication Using Binoc ModelIJMER
International Journal of Modern Engineering Research (IJMER) is Peer reviewed, online Journal. It serves as an international archival forum of scholarly research related to engineering and science education.
Similar to Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization (20)
Cosmetic shop management system project report.pdfKamal Acharya
Buying new cosmetic products is difficult. It can even be scary for those who have sensitive skin and are prone to skin trouble. The information needed to alleviate this problem is on the back of each product, but it's thought to interpret those ingredient lists unless you have a background in chemistry.
Instead of buying and hoping for the best, we can use data science to help us predict which products may be good fits for us. It includes various function programs to do the above mentioned tasks.
Data file handling has been effectively used in the program.
The automated cosmetic shop management system should deal with the automation of general workflow and administration process of the shop. The main processes of the system focus on customer's request where the system is able to search the most appropriate products and deliver it to the customers. It should help the employees to quickly identify the list of cosmetic product that have reached the minimum quantity and also keep a track of expired date for each cosmetic product. It should help the employees to find the rack number in which the product is placed.It is also Faster and more efficient way.
Water scarcity is the lack of fresh water resources to meet the standard water demand. There are two type of water scarcity. One is physical. The other is economic water scarcity.
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Dr.Costas Sachpazis
Terzaghi's soil bearing capacity theory, developed by Karl Terzaghi, is a fundamental principle in geotechnical engineering used to determine the bearing capacity of shallow foundations. This theory provides a method to calculate the ultimate bearing capacity of soil, which is the maximum load per unit area that the soil can support without undergoing shear failure. The Calculation HTML Code included.
About
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
• Remote control: Parallel or serial interface.
• Compatible with MAFI CCR system.
• Compatible with IDM8000 CCR.
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
• Easy in configuration using DIP switches.
Technical Specifications
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
Key Features
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
• Remote control: Parallel or serial interface
• Compatible with MAFI CCR system
• Copatiable with IDM8000 CCR
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
Application
• Remote control: Parallel or serial interface.
• Compatible with MAFI CCR system.
• Compatible with IDM8000 CCR.
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
• Easy in configuration using DIP switches.
CFD Simulation of By-pass Flow in a HRSG module by R&R Consult.pptxR&R Consult
CFD analysis is incredibly effective at solving mysteries and improving the performance of complex systems!
Here's a great example: At a large natural gas-fired power plant, where they use waste heat to generate steam and energy, they were puzzled that their boiler wasn't producing as much steam as expected.
R&R and Tetra Engineering Group Inc. were asked to solve the issue with reduced steam production.
An inspection had shown that a significant amount of hot flue gas was bypassing the boiler tubes, where the heat was supposed to be transferred.
R&R Consult conducted a CFD analysis, which revealed that 6.3% of the flue gas was bypassing the boiler tubes without transferring heat. The analysis also showed that the flue gas was instead being directed along the sides of the boiler and between the modules that were supposed to capture the heat. This was the cause of the reduced performance.
Based on our results, Tetra Engineering installed covering plates to reduce the bypass flow. This improved the boiler's performance and increased electricity production.
It is always satisfying when we can help solve complex challenges like this. Do your systems also need a check-up or optimization? Give us a call!
Work done in cooperation with James Malloy and David Moelling from Tetra Engineering.
More examples of our work https://www.r-r-consult.dk/en/cases-en/
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)MdTanvirMahtab2
This presentation is about the working procedure of Shahjalal Fertilizer Company Limited (SFCL). A Govt. owned Company of Bangladesh Chemical Industries Corporation under Ministry of Industries.
Immunizing Image Classifiers Against Localized Adversary Attacksgerogepatton
This paper addresses the vulnerability of deep learning models, particularly convolutional neural networks
(CNN)s, to adversarial attacks and presents a proactive training technique designed to counter them. We
introduce a novel volumization algorithm, which transforms 2D images into 3D volumetric representations.
When combined with 3D convolution and deep curriculum learning optimization (CLO), itsignificantly improves
the immunity of models against localized universal attacks by up to 40%. We evaluate our proposed approach
using contemporary CNN architectures and the modified Canadian Institute for Advanced Research (CIFAR-10
and CIFAR-100) and ImageNet Large Scale Visual Recognition Challenge (ILSVRC12) datasets, showcasing
accuracy improvements over previous techniques. The results indicate that the combination of the volumetric
input and curriculum learning holds significant promise for mitigating adversarial attacks without necessitating
adversary training.
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdffxintegritypublishin
Advancements in technology unveil a myriad of electrical and electronic breakthroughs geared towards efficiently harnessing limited resources to meet human energy demands. The optimization of hybrid solar PV panels and pumped hydro energy supply systems plays a pivotal role in utilizing natural resources effectively. This initiative not only benefits humanity but also fosters environmental sustainability. The study investigated the design optimization of these hybrid systems, focusing on understanding solar radiation patterns, identifying geographical influences on solar radiation, formulating a mathematical model for system optimization, and determining the optimal configuration of PV panels and pumped hydro storage. Through a comparative analysis approach and eight weeks of data collection, the study addressed key research questions related to solar radiation patterns and optimal system design. The findings highlighted regions with heightened solar radiation levels, showcasing substantial potential for power generation and emphasizing the system's efficiency. Optimizing system design significantly boosted power generation, promoted renewable energy utilization, and enhanced energy storage capacity. The study underscored the benefits of optimizing hybrid solar PV panels and pumped hydro energy supply systems for sustainable energy usage. Optimizing the design of solar PV panels and pumped hydro energy supply systems as examined across diverse climatic conditions in a developing country, not only enhances power generation but also improves the integration of renewable energy sources and boosts energy storage capacities, particularly beneficial for less economically prosperous regions. Additionally, the study provides valuable insights for advancing energy research in economically viable areas. Recommendations included conducting site-specific assessments, utilizing advanced modeling tools, implementing regular maintenance protocols, and enhancing communication among system components.
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Utilization
1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
DOI : 10.5121/vlsic.2011.2415 179
Optimized Design of 2D Mesh NOC Router using
Custom SRAM & Common Buffer Utilization
Bhavana Pote1
,V. N. Nitnaware2
,and Dr. S. S. Limaye3
1
Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur, India
bhavanaspote@gmail.com
2
Department of EDT, Ramdeobaba Kamla Nehru College of Engg, Nagpur, India
nitnawarevn@rknec.edu
3
Jhulelal Institute of Technology, Nagpur, India
ss_limaye@gmail.com
Abstract:
With the shrinking technology, reduced scale and power-hungry chip IO leads to System on Chip. The
design of SOC using traditional standard bus scheme encounters with issues like non-uniform delay and
routing problems. Crossbars could scale better when compared to buses but tend to become huge with
increasing number of nodes. NOC has become the design paradigm for SOC design for its highly
regularized interconnect structure, good scalability and linear design effort. The main components of an
NoC topology are the network adapters, routing nodes, and network interconnect links. This paper mainly
deals with the implementation of full custom SRAM based arrays over D FF based register arrays in the
design of input module of routing node in 2D mesh NOC topology. The custom SRAM blocks replace D
FF(D flip flop) memory implementations to optimize area and power of the input block. Full custom design
of SRAMs has been carried out by MILKYWAY, while physical implementation of the input module with
SRAMs has been carried out by IC Compiler of SYNOPSYS.The improved design occupies approximately
30% of the area of the original design. This is in conformity to the ratio of the area of an SRAM cell to the
area of a D flip flop, which is approximately 6:28.The power consumption is almost halved to 1.5 mW.
Maximum operating frequency is improved from 50 MHz to 200 MHz. It is intended to study and quantify
the behavior of the single packet array design in relation to the multiple packet array design. Intuitively, a
common packet buffer would result in better utilization of available buffer space. This in turn would
translate into lower delays in transmission. A MATLAB model is used to show quantitatively how
performance is improved in a common packet array design.
Keywords : 2D mesh, virtual output queuing, HOL blocking, FIFO, DDC file, GDS format.
1. Introduction:
A packet-switched 2-D mesh is the most used and studied topology so far. It is also a sort of an
average NOC currently. Good results and interesting proposals provoke design engineers to use
this topology as the base[1] .The key research problems in the design of NOCs include but are not
2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
180
limited to topology, channel width, buffer size, floorplan, routing, switching, scheduling, and IP
mapping[2]. Additionally, [3]lists research issues to be application modeling and optimization,
NOC communication architecture analysis and optimization, NOC communication architecture
evaluation, and NOC design validation and synthesis.
The most important metrics for NOCs are application runtime, silicon area, power consumption,
and latency. All these are to be minimized and usually appropriate trade-off is sought[4]. The
required silicon area is the most commonly reported value (77%) followed by latency (55%) and
maximum operating frequency (50%). The other metrics have lower occurrence[1].
In this regard, the current work is related to optimization of buffers in the router design so as to
achieve lower silicon area, lower power and higher operating frequency.
The input block in the design of router consists of six major components: the packet array, the
linked list array, the destination head array, the destination tail array, the free-list FIFO, and a
shift register, see fig. 3.1. Four of these six components are conventional memory elements. In a
standard cell based design, memory elements are realized using D flip flops in the standard
SYNOPSYS Library. If we consider a NAND gate implementation of a D flip flop with no
RESET or SET inputs, we require 28 MOS transistors to realize one D flip flop, see fig. 1.1. A
more area efficient implementation of memory is through the use of SRAM cells. Each SRAM
cell is implemented using 6 transistors, see fig. 1.2. Therefore, memory realization using SRAM
is more efficient compared to D flip flops. However, standard cell based approach to ASIC
design does provide SRAM standard cells because of the many possible configurations of width
and depth. SRAM design is carried out using full custom approach to ASIC design. By
combining standard cell based and full custom ASIC design, D flip flops can be replaced by
SRAM, improving the area efficiency of the input block (Fewer transistors - less area required for
equal amount of memory).
Fig. 1.1 DFF schematic
3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
181
Fig. 1.2 SRAM schematic
The queues are maintained in a packet array. Queue size is dynamically determined depending
on the arrival pattern of the data. If more data is destined for output port “m”, then
correspondingly, more buffer space, and hence, a longer queue is maintained for data packets to
be routed to output port “m,” subject to the maximum space available in the packet array (Fig.
1.3).
Fig. 1.3 Each input port has its own buffer
An alternative design is based on using a common packet for all the input ports. For example, if
the crossbar switch consists of four input ports, then the original design calls for four packet
arrays. The proposed design would utilize one common packet array for all the four input ports
(Fig.1.4)
4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
182
Fig. 1.4 Common buffer shared between all input ports
It is intended to study and quantify the behavior of the single packet array design in relation to the
multiple packet array design. Intuitively, a common packet buffer would result in better
utilization of available buffer space. This in turn would translate into lower delays in
transmission.
2. Introduction to A 2D Mesh NOC:
Fig. 2.1 : A 2D Mesh NOC structure
A NOC consists of routers/switch, links, and network interfaces (Fig. 2.1). Routers direct data
over several links (hops). Routers further consists of a scheduler, buffer to store the incoming
data packet and the crossbar. Topology defines their logical lay-out (connections) whereas floor
plan defines the physical layout. The function of a network interface (adapter) is to decouple
computation (the resources) from communication (the network). Routing decides the path taken
from source to the destination whereas switching and flow control policies define the timing of
transfers[2][5]. Task scheduling refers to the order in which the application tasks are executed
and task mapping defines which processing element (PE) executes certain task. IP mapping, on
the other hand, defines how PEs and other resources are connected to the NoC.
For illustrative purposes, Fig. 2.1 shows an example SOC with a NOC and nine heterogeneous IP
blocks that are CPUs, memories, input/output devices, and HW accelerators.
2.1 Router Structure
NOC architectures are based on packet-switched networks, see figure 2.2a. This has led to new
and efficient principles for design of routers for NOC[6]. Assume that a router for the mesh
topology has four inputs and four outputs from/to other routers, and another input and output
from/to the Network Interface (NI). Routers can implement various functionalities - from simple
switching to intelligent routing. Since embedded systems are constrained in area and power
consumption, but still need high data rates, routers must be designed with hardware usage in
mind. For circuit-switched networks, routers may be designed with no queuing (buffering). For
packet-switched networks, some amount of buffering is needed, to support bursty data transfers.
5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
183
Such data originate in multimedia applications such as video streaming. Buffers can be provided
at the input, at the output, or at both input and output[7].
Various designs and implementations of router architectures based on different routing strategies
have been proposed in the literature. Wolkotte et al. proposed a circuit switched router
architecture for NOC[8], while Dally and Towles proposed a packet switched router architecture
[9]. Albenes and Frederico provided a wormhole-based packet forwarding design for a NOC
switch[10].
Fig 2.2 (a) NOC Router (b) Router Components
In this paper, the buffers in the design of the routers are based on the principle of virtual output
queuing since it is simple and reduces the risk of Head of Line Blocking[11] [12] [13].
In this paper, the scheduling policy embodied in the router is based on Iterative SLIP algorithm.
iSLIP uses round-robin to choose on port among those contending. This permits simpler hardware
implementations compared, besides making iSLIP faster. iSLIP achieves close to maximal
matches after just one or two iterations.. iSLIP achieves 100% throughput under uniform traffic
and the round robin policy ensures fairness among contenders.
Even though its behavior may be unstable under bursty traffic, iSLIP is commonly implemented
in commercial switches due to its simplicity[14]. This algorithm becomes more silicon area
efficient if it is implemented with its folding concept[15].
3. Implementation of input module with D FF based array vs CUSTOM
SRAM.
The traditional design of input module consists of D FFs based register arrays[16], see fig. 3.1
The same function of input module we intended to achieve using full custom SRAM replacing the
register arrays. The input module with arrays based on D FFs is now replaced by the input
module with full custom SRAMs, see fig.3.2
The input block is synthesized using the Synopsys 90 nm EDK standard cell library. Memory
elements are synthesized using D flip flops using the standard cell library. In order to save silicon
area, D flip flop memory is replaced by custom built SRAM. The SRAM provided by Synopsys
in the 90 nm process are available in sizes (width x depth) of 8x16, 8x32, and 128x64.
6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
184
However, the input block requires packet array memory of size 72x32. This is realized using
SRAM of size 128x64. The free-list FIFO requires a 6x32 memory. This is implemented using
an SRAM of 8x32. The destination head and destination tail arrays are of size 6x8. These are
replaced by SRAM of size 8x16. The SRAM used is underutilized. However, due to more
compact implementation, overall design area is greatly reduced, as shown by results in the
following section.
Fig.3.1 Input module with D FF based register arrays [17]
Custom SRAM IP is generated by full custom mask layout. Synopsys provides SRAM macros in
LEF format. In order to integrate SRAM into the input block, this LEF file is used to generate
CEL, FRAM, and LM views. Synopsys Milkyway is used to process the LEF file to create CEL,
FRAM, and LM views. These views combine to produce a reference library for IC Compiler.
The layout of the input block using SRAM requires CEL, FRAM, and LM views of the 90nm
standard library as well as the SRAM macro. The design file containing the input block gate
level netlist is a DDC file. This file is generated by Design Compiler from RTL code by applying
appropriate constraints.
7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
185
Fig.3.2 Input module with SRAM based arrays
The DC constraints applied to Design Compiler for the modified input block are:
Clock = 5 ns
Input Delay = 2 ns
Output Delay = 2 ns
The input and output delays are derived from the clock using a time budget of 40%.
The layout is generated from the gate level netlist and the reference libraries. Additionally, the
TLU+ capacitance models are required by IC Compiler.
3.1 Steps on IC Compiler [18]
The following steps are executed on IC Compiler to derive the layout:
1. A new Milkyway library database is created for the input block.
8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
186
2. Initial floorplan is created where the start row and row orientation is specified. Additionally,
the spacing between the IC core and input/output pad ring is specified.
3. Power grid is initialized for VDD and VSS.
4. Rectangular rings are created for VDD and VSS. For each segment, the metal layer,
segment width, and segment offset are specified.
5. Floorplan placement is executed. All cells and IP cores are placed within the IC core
boundary.
6. Power straps are generated for VDD and VSS. The direction, width, and metal of power
straps is specified.
7. Standard cells are prerouted for horizontal connection.
8. Clock tree is synthesized.
9. Detailed routing is performed.
The layout is ready to be streamed out in GDSII format.
3.2 Single Buffer of size 128 packets versus 4 buffers of size 32 packets:
The block diagram of the Simulink model is given below.
Fig. 3.3 Simulink model for single buffer vs 4-buffers.
9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
The first block is labeled “Exponential Distribution1.” This block specifies packet arrival time.
The packet arrival pattern is an exponential distribution. The block labeled “Packet Source1”
generates packet events. The “Set Attribute1” block combines the effects of “Exponentia
Distribution1” with “Packet Source1” to generate packet entities at time intervals specified by the
exponential distribution mentioned above. The arrival of a packet event at the “Start Timer2”
block causes the simulation timer to start. Generated pack
buffer designated “Common Buffer.” The packets leave the common buffer when they are
serviced by the scheduler vector. The scheduler vector is generated for four input ports by “4x
Scheduler Vector.” The total numbe
block. Whenever a packet leaves the buffer, the departure time is recorded by the “Read Timer.”
The packet exits the simulation flow through the “Entity Sink1” block. The average time spent
by the packet in the buffer is captured by the “Average Delay1” block.
The behavior of the dedicated 32 packet buffer model differs only in two components, “Output
Switch” and “Path Combiner.” The “Output Switch” block demultiplexes the generated packets
into their respective input port packet buffers. The “Path Combiner” aggregates the output stream
to help calculate total number of packets served and average time spent by packet waiting for
service.
The simulation was run for 50000 packets. The packet gene
identical, using the exponential distribution for inter
performed to verify the average delays observed.
4. RESULTS:
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
“Exponential Distribution1.” This block specifies packet arrival time.
The packet arrival pattern is an exponential distribution. The block labeled “Packet Source1”
generates packet events. The “Set Attribute1” block combines the effects of “Exponentia
Distribution1” with “Packet Source1” to generate packet entities at time intervals specified by the
exponential distribution mentioned above. The arrival of a packet event at the “Start Timer2”
block causes the simulation timer to start. Generated packets are stored in a common 128 packet
buffer designated “Common Buffer.” The packets leave the common buffer when they are
serviced by the scheduler vector. The scheduler vector is generated for four input ports by “4x
Scheduler Vector.” The total number of packets served are recorded by the “Number Served1”
block. Whenever a packet leaves the buffer, the departure time is recorded by the “Read Timer.”
The packet exits the simulation flow through the “Entity Sink1” block. The average time spent
packet in the buffer is captured by the “Average Delay1” block.
The behavior of the dedicated 32 packet buffer model differs only in two components, “Output
Switch” and “Path Combiner.” The “Output Switch” block demultiplexes the generated packets
their respective input port packet buffers. The “Path Combiner” aggregates the output stream
to help calculate total number of packets served and average time spent by packet waiting for
The simulation was run for 50000 packets. The packet generation rates for both models are
identical, using the exponential distribution for inter-arrival times. Multiple simulation runs were
performed to verify the average delays observed.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
187
“Exponential Distribution1.” This block specifies packet arrival time.
The packet arrival pattern is an exponential distribution. The block labeled “Packet Source1”
generates packet events. The “Set Attribute1” block combines the effects of “Exponential
Distribution1” with “Packet Source1” to generate packet entities at time intervals specified by the
exponential distribution mentioned above. The arrival of a packet event at the “Start Timer2”
ets are stored in a common 128 packet
buffer designated “Common Buffer.” The packets leave the common buffer when they are
serviced by the scheduler vector. The scheduler vector is generated for four input ports by “4x
r of packets served are recorded by the “Number Served1”
block. Whenever a packet leaves the buffer, the departure time is recorded by the “Read Timer.”
The packet exits the simulation flow through the “Entity Sink1” block. The average time spent
The behavior of the dedicated 32 packet buffer model differs only in two components, “Output
Switch” and “Path Combiner.” The “Output Switch” block demultiplexes the generated packets
their respective input port packet buffers. The “Path Combiner” aggregates the output stream
to help calculate total number of packets served and average time spent by packet waiting for
ration rates for both models are
arrival times. Multiple simulation runs were
10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
Fig. 4.1. Synthesis report for input block using standard cell library
Fig. 4.2. Layout of input block using D flip flop memory
Fig. 4.3. Synthesis report for input block using SRAM
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
Fig. 4.1. Synthesis report for input block using standard cell library
(D flip flop memory)
Fig. 4.2. Layout of input block using D flip flop memory
Fig. 4.3. Synthesis report for input block using SRAM
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
188
11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
Fig. 4.4. Layout of input block using SRAM
4.1. Comparison chart showing results for area, power, and timing.
Input block (DFF) 136438 sq microns
Input block (SRAM) 42409 sq microns
4.2. The results of the SIMULINK model for distributed and common buffer are as
follows:
Simulation Model
Common Buffer (128 Packets)
Distributed Buffers (4 x 32 Packets)
5. Conclusion:
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
Fig. 4.4. Layout of input block using SRAM
Comparison chart showing results for area, power, and timing.
Area Power Clock period
136438 sq microns 2.985 mW 20 ns
42409 sq microns 1.436 mW 5 ns
Table 1
The results of the SIMULINK model for distributed and common buffer are as
Simulation Model Average Delay
Common Buffer (128 Packets) 4.3 time units
Distributed Buffers (4 x 32 Packets) 7.9 time units
Table 2
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
189
Clock period
The results of the SIMULINK model for distributed and common buffer are as
12. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
190
The input block optimized for area and power by incorporation of custom SRAM blocks into the
design. The custom SRAM blocks replace DFF(D flip flop) memory implementations. The
amount of hardware resources required to store one bit of information using and SRAM cell (6
transistors) is much less than the hardware requirements for storing one bit of information using
DFF (28 transistors).
The use of SRAM macros in place of standard cell D flip flops have resulted in an area reduction
and corresponding reduction in power consumption. The improved design occupies
approximately 30% of the area of the original design. This is in conformity to the ratio of the
area of an SRAM cell to the area of a D flip flop, which is approximately 6:28. The power
consumption is almost halved to 1.5 mW. Maximum operating frequency is improved from 50
Mhz to 200 Mhz.
The utilization efficiency of the packet buffer array improves when a common buffer is used
instead of individual buffers in each input port. This is manifested in the form of lower delay in
transferring a packet from the input to the output. The delay is improved by approximately 40%
through the use of a common buffer.
REFERENCES :
[1] Salminen et al., survey of network-on-chip proposals, white paper, @ OCP-IP, march 2008.
[2] U. Ogras, J. Hu, and R. Marculescu, “Key Research Problems in NoC Design: A Holisitic
Perspective,” in Proceedings of the CODES, ISSS, 2005, pp. 69-74.
[3] R. Marculescu, U. Ogras, L. Peh, N. Jerger, and Y. Hoskote, “Outstanding Research Problems in
NoC Design: System, Microarchitecture, and Circuit Perspectives,” IEEE Transactions on Computer
Aided Design of Integrated Circuits and Systems, Vol. 28, No. 1, January 2009.
[4] E. Salminen, A. Kulmala, and T. H¨am¨al¨ainen, “On network-on-chip comparison,” in Euromicro
DSD, Aug. 2007, pp. 503–510
[5] S. Lee, “Implementation of a NoC”, KAIST, 2005.
[6] E . Rijpkema, K. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P.Wielage, and E.
Waterlander,“Trade-offs in the design of a router with both guaranteed and best-effort services for
networks on chip”, IEE Proc. on Computers and Digital Techniques, vol. 150, Issue 5, pp. 294-302,
September 2003.
[7] A. Kumar, D. Manjunath, and J. Kuri, Communication Networking: An Analytical Approach,
Morgan Kaufmann, 2004.
[8] P. T. Wolkotte, G. J. M. Smit, G. K. Rauwerda, and L. T. Smit, “An energy-efficient reconfigurable
circuit- switched network-on-chip”, Proc. 19th IEEE International Conference on Parallel and
Distributed Processing Symposium, pp. 155-163, 2005.
[9] J. W. Dally and B. Towles, “Route packets, not wires: On-Chip interconnection networks”, Proc.
IEEE International Conference on Design and Automation, pp.684-689, June 2001.
[10] C. Albenes, Zeferino Frederico G. M. E. Santo, Altarniro Amadeu Susin, “ParlS: A parameterizable
interconnect switch for Networks-on-Chips”, Proc. ACM Conference, pp. 204-209, 2004.
13. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
191
[11] Y. Tamir and G. L. Frazier, “High-performance multi-queue buffers for VLSI communications
switches,” SIGARCH Comput. Archit. News, vol.16, no. 2, pp. 343–354, 1988.
[12] H. Obara, “Optimum architecture for input queuing ATM switches,” Electronics Letters, vol. 27, no.
7, pp. 555–557, Mar. 1991.
[13] H. Obara and Y. Hamazumi, “Parallel contention resolution control for input queuing ATM
switches,” Electron. Lett., vol. 28, no. 9, pp. 838–839,Apr. 1992.
[14] Nick McKeown, “The iSLIP scheduling algorithm for input-queued switches,” IEEE/ACM Trans.
Netw., vol. 7, no. 2, pp. 188–201, 1999.
[15] Rehan Maroofi, V. N. Nitnaware and Dr. S. S. Limaye, “AREA-EFFICIENT DESIGN OF
SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP” International Journal of VLSI
design & Communication Systems (VLSICS) Vol.2, No.3, September 2011.
[16] Adnan Aziz, Amit Prakash, and Vijaya Ramachandra, “A near optimal scheduler for switch-memory-
switch routers,” in Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and
architectures, SPAA ’03, 2003, pp. 343–352.
[17] A semester project of John D. Pape on “Implementation of an On-chip Interconnect Using the iSLIP
Scheduling Algorithm” at The University of Texas at Austin December 11, 2006
[18] SYNOPSYS Manual for Design Compiler, IC Compiler, available at SOLVNET
{https://solvent.synopsys.com/}.