SlideShare a Scribd company logo
RANGKAIAN WATER LEVEL MONITORING
Untuk memenuhi tugas mata kuliah “Aljabar Boolean dan Rangkaian Logika”
Disusun Oleh :
Zufar Dhiyaulhaq ( NIM 1101164217 )
PROGRAM STUDI S1 TEKNIK TELEKOMUNIKASI
FAKULTAS TEKNIK ELEKTRO
UNIVERSITAS TELKOM
BANDUNG
2017
i
KATA PENGANTAR
Syukur Alhamdulillah saya ucapkan kehadirat Allah SWT. Atas limpahan rahmat dan
karunia-Nya sehingga saya dapat menyelesaikan laporan ini. Adapun tujuan dari
penyusunan laporan ini adalah salah satu syarat yang harus dipenuhi untuk mengikuti
UAS di Universitas Telkom.
Dengan terselesaikannya laporan ini, saya ingin menyampaikan terima kasih
semua pihak yang telah membantu dalam penyusunannya terutama kepada :
1. Bapak Dharu Arseno, S.T., M.T. Selaku dosen.
2. Laboratorium Teknik Digital yang telah mengenalkan lebih dalam tentang
VHDL.
3. Teman-teman dan semua pihak yang telah membantu di dalam proses
penyusunan laporan ini.
Semoga segala bantuan dan pengorbanannya mendapatkan balasan yang setimpal
dari Allah SWT, Amin. Akhirnya, saya berharap semoga dapat bermanfaat bagi semua
pihak.
Bandung 15 November, 2017
Penyusun
ii
DAFTAR ISI
KATA PENGANTAR...........................................................................................................i
DAFTAR ISI........................................................................................................................ii
DESKRIPSI RANGKAIAN............................................................................................... 1
PROGRAM VHDL..............................................................................................................4
GAMBAR RANGKAIAN..................................................................................................5
HASIL SIMULASI..............................................................................................................5
LAMPIRAN.........................................................................................................................8
1
DESKRIPSI RANGKAIAN
Program Rangkaian Water Level Monitoring adalah sebuah program yang
bertujuan untuk memonitor ketinggian air di sungai ataupun bendungan.
Program ini memiliki tiga masukan yaitu berupa bit-bit yang berasal dari sensor
yang sudah dipasang di bendungan ataupun sungai. Program ini akan memproses
masukan tersebut sedemikian rupa sehingga dapat menghasilkan bit-bit keluaran yang
dapat menyalakan lampu monitoring, mengirimkan pemberitahuan berupa SMS dan
juga menyalakan alarm tanda bahaya.
Jika sensor sudah mendeteksi bahwa air mencapai level tertentu, maka program
akan menyalakan lampu sebagai pemberitahuannya. Program ini mempunyai tiga
level pendeteksian, yaitu :
1. Level satu, merupakan level yang menandakan bahwa ketinggian air normal.
2. Level dua, level yang menandakan bahwa ketinggian air melebihi standar
keamanan, dan dapat dilakukan pemantauan secara intensif.
3. Level tiga, level yang menandakan bahwa harus segera dilakukan penanganan
dan evakuasi daerah sekitar.
2
Selain lampu, program akan mengirimkan SMS yang berupa SMS penanda
bahaya (warning sms) dan SMS untuk melakukan evakuasi (danger sms) dan
membunyikan alarm.
Deskripsi Lampu :
1. Lampu merah sebagai penanda bahaya.
2. Lampu kuning sebagai peringatan.
3. Lampu hijau sebagai penanda aman.
Tabel Kebenaran
K-MAP Keluaran
lamp_green
y=A'B'+A'C'
lamp_yellow
y = AB' + AC' + A'BC
Input Output
level_3 level_2 level_1 lamp_green lamp_yellow Lamp_red Alarm sms_danger sms_warning
0 0 0 1 0 0 0 0 0
0 0 1 1 0 0 0 0 0
0 1 0 1 0 0 0 0 0
0 1 1 0 1 0 0 0 1
1 0 0 0 1 0 0 0 0
1 0 1 0 1 0 0 0 0
1 1 0 0 1 0 0 0 0
1 1 1 0 0 1 1 1 0
3
lamp_red alarm
y= ABC y= ABC
sms_danger sms_warning
y= ABC y= A’BC
Catatan :
1. A merupakan level 3
2. B merupakan level 2
3. C merupakan level 1
4
PROGRAM VHDL
library ieee;
use ieee.std_logic_1164.all;
entity water_level is
port (
level_1 : in std_logic;
level_2 : in std_logic;
level_3 : in std_logic;
lamp_green : out std_logic;
lamp_yellow : out std_logic;
lamp_red : out std_logic;
alarm : out std_logic;
sms_danger : out std_logic;
sms_warning : out std_logic
);
end water_level;
architecture program of water_level is
begin
lamp_red <= level_1 and level_2 and level_3;
alarm <= level_1 and level_2 and level_3;
sms_danger <= level_1 and level_2 and level_3;
lamp_yellow <= (level_3 and not level_2) or (level_3 and not level_1) or (not
level_3 and level_2 and level_1);
lamp_green <= (not level_3 and not level_2) or (not level_3 and not level_1);
sms_warning <= level_1 and level_2 and not level_3;
end program;
5
GAMBAR RANGKAIAN
Gambar rangkaian ini didapatkan dengan menggunakan perangkat lunak Quartus
II. Untuk mendapatkan gambar rangkaian ini, Compile terlebih dahulu program yang
sudah dibuat, lalu pergi ke menu Tools > Netlist Viewers > RTL Viewer.
HASIL SIMULASI
Hasil simulasi ini didapatkan dengan menggunakan perangkat lunak Quartus II.
Input yang dimasukan adalah input dari sensor. Untuk menjalankan simulasi, program
harus terlebih dahulu di compile. Lalu buat file baru dengan File > New > University
Program VWF.
6
Lalu tambahkan node yang berupa input dan outputnya dengan pergi kemenu
Edit > Insert > Insert node or bus.
Pada Node Finder, pilih list lalu masukan semua nodenya.
atur inputnya terlebih dahulu. Input akan diatur sehingga memenuhi semua tabel
kebenaran yang telah dibuat.
7
Jika sudah, lakukan simulasi dengan Simulation > Run Fungtional Simulation.
Maka hasil simulasi akan keluar untuk semua outputnya.
Hasil simulasi akan sama dengan tabel kebenaran yang sudah dibuat, contohnya
adalah lampu hijau (lamp_green) akan menyala jika sensor tidak mendeteksi air,
ataupun sensor mendeteksi air pada sensor level 1 ataupun hanya level 2.
8
LAMPIRAN
Jenis Tipe Data VHDL
VHDL mempunyai beberapa standar tipe data. Tipe data ini terbagi menjadi tipe
data predefined dan tipe data buatan standar.
Tipe data predefined adalah tipe predefined adalah tipe data yang sudah ada
didalam bahasa VHDL. Contoh data tipe data ini adalah BIT, BOOLEAN, dan
INTEGER.
BIT Tipe data bit adalah tipe data yang hanya berisi dua angka, yaitu
0 dan 1.
Contoh :
signal BitSig : bit;
BitSig1 <= '1';
BOOLEAN Tipe data boolean adalah tipe data yang hanya berisi dua kata,
yaitu false dan true.
Contoh :
signal CondSup : boolean;
CondSup <= true;
INTEGER Tipe data integer pada VHDL adalah tipe data yang berisi angka
integer dengan jarak tertentu.
Contoh :
type Voltage_Level is range 0 to 5;
Tipe data buatan standar adalah tipe data yang dibuat atau didefinisikan pada
standar tertentu. Contohnya STD_LOGIC ataupun STD_LOGIC_VECTOR yang
merupakan tipe data standar IEEE yang didefinisikan pada library
STD_LOGIC_1164.

More Related Content

What's hot

Deret binomial
Deret binomialDeret binomial
Deret binomial
Ama Rosid
 
Logika Matematika; Penarikan Kesimpulan
Logika Matematika; Penarikan KesimpulanLogika Matematika; Penarikan Kesimpulan
Logika Matematika; Penarikan Kesimpulan
Maya Sy
 
proposisi majemuk & Tautologi
 proposisi majemuk & Tautologi proposisi majemuk & Tautologi
proposisi majemuk & Tautologi
Huzairi Zairi
 
Bab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi booleanBab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi boolean
Cliquerz Javaneze
 

What's hot (20)

Matriks eselon baris dan eselon baris tereduksi
Matriks eselon baris dan eselon baris tereduksiMatriks eselon baris dan eselon baris tereduksi
Matriks eselon baris dan eselon baris tereduksi
 
Deret binomial
Deret binomialDeret binomial
Deret binomial
 
Induksi matematika
Induksi matematikaInduksi matematika
Induksi matematika
 
Review Materi Kuliah Perkim
Review Materi Kuliah PerkimReview Materi Kuliah Perkim
Review Materi Kuliah Perkim
 
Logika Matematika; Penarikan Kesimpulan
Logika Matematika; Penarikan KesimpulanLogika Matematika; Penarikan Kesimpulan
Logika Matematika; Penarikan Kesimpulan
 
Logika dan Pembuktian
Logika dan PembuktianLogika dan Pembuktian
Logika dan Pembuktian
 
Tugas algoritma ( flowchart )
Tugas algoritma ( flowchart )Tugas algoritma ( flowchart )
Tugas algoritma ( flowchart )
 
Aksioma dan definisi
Aksioma dan definisiAksioma dan definisi
Aksioma dan definisi
 
Biaya peluang x iis2 stc1
Biaya peluang x iis2 stc1Biaya peluang x iis2 stc1
Biaya peluang x iis2 stc1
 
proposisi majemuk & Tautologi
 proposisi majemuk & Tautologi proposisi majemuk & Tautologi
proposisi majemuk & Tautologi
 
Pengantar java script
Pengantar java scriptPengantar java script
Pengantar java script
 
Logika matematika kalkulus proposisi
Logika matematika kalkulus proposisiLogika matematika kalkulus proposisi
Logika matematika kalkulus proposisi
 
Metode numerik persamaan non linier
Metode numerik persamaan non linierMetode numerik persamaan non linier
Metode numerik persamaan non linier
 
Met num 2
Met num 2Met num 2
Met num 2
 
Matematika Diskrit - 05 rekursi dan relasi rekurens - 01
Matematika Diskrit - 05 rekursi dan relasi rekurens - 01Matematika Diskrit - 05 rekursi dan relasi rekurens - 01
Matematika Diskrit - 05 rekursi dan relasi rekurens - 01
 
Bab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi booleanBab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi boolean
 
Pertemuan 3 Hubungan nilai, norma dan moral
Pertemuan 3 Hubungan nilai, norma dan moralPertemuan 3 Hubungan nilai, norma dan moral
Pertemuan 3 Hubungan nilai, norma dan moral
 
Graf 2
Graf 2Graf 2
Graf 2
 
Materi 6. perulangan
Materi 6. perulanganMateri 6. perulangan
Materi 6. perulangan
 
operasi pada fungsi
operasi pada fungsioperasi pada fungsi
operasi pada fungsi
 

Similar to Makalah Aljabar Boolean dan Rangkaian Logika

Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
DestiNuraeni
 
Modul algoritma satu sampai lima
Modul algoritma satu sampai limaModul algoritma satu sampai lima
Modul algoritma satu sampai lima
Ahmad S
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digital
Rendy Wahyudi
 
Laporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasiLaporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasi
Afif Demagic
 
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdfUAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
HendroGunawan8
 

Similar to Makalah Aljabar Boolean dan Rangkaian Logika (20)

PID Implementation on Octave
PID Implementation on OctavePID Implementation on Octave
PID Implementation on Octave
 
(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - Arduino(Internet of things) sensor temperatur suhu - Arduino
(Internet of things) sensor temperatur suhu - Arduino
 
Data Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi SerialData Logging Tegangan melalui Komunikasi Serial
Data Logging Tegangan melalui Komunikasi Serial
 
Makalah Sinyal digital dan analog
Makalah Sinyal digital dan analogMakalah Sinyal digital dan analog
Makalah Sinyal digital dan analog
 
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
Sistem Kendali Volume Air pada Air Isi Ulang dengan Ultrasonic metode PID ber...
 
Laporan led
Laporan ledLaporan led
Laporan led
 
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdfDasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
Dasar-Pemrograman-Modul-1-Pengenalan-Pemrograman.pdf
 
Modul algoritma satu sampai lima
Modul algoritma satu sampai limaModul algoritma satu sampai lima
Modul algoritma satu sampai lima
 
makalah-termometer-digital
makalah-termometer-digitalmakalah-termometer-digital
makalah-termometer-digital
 
Aplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontrollerAplikasi komunikasi serial mikrokontroller
Aplikasi komunikasi serial mikrokontroller
 
Trafic light[1]
Trafic light[1]Trafic light[1]
Trafic light[1]
 
Microcontroller
MicrocontrollerMicrocontroller
Microcontroller
 
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
Sistem Kendali suhu ruangan dengan pid backward difference berbasis arduino, ...
 
Octave dan Mikrokontroller Communication
Octave dan Mikrokontroller CommunicationOctave dan Mikrokontroller Communication
Octave dan Mikrokontroller Communication
 
Laporan praktikum dpk modul 1
Laporan praktikum dpk modul 1Laporan praktikum dpk modul 1
Laporan praktikum dpk modul 1
 
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMANPENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
PENGENALAN SSISTEM OPERASI, IDE VISUAL C++, DAN ALGORITMA PEMROGRAMAN
 
Laporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasiLaporan elektronoka & instrumentasi
Laporan elektronoka & instrumentasi
 
ALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptxALAT UKUR wahyu s-smk pgri 3.pptx
ALAT UKUR wahyu s-smk pgri 3.pptx
 
Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02Soalunproduktiftkj2014paketb 140416092115-phpapp02
Soalunproduktiftkj2014paketb 140416092115-phpapp02
 
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdfUAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
UAS_INTERNET OF THINGS_NAMA_HENDRO GUNAWAN_NIM 200401072103_KELAS IT602.pdf
 

More from Zufar Dhiyaulhaq

More from Zufar Dhiyaulhaq (13)

Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018Laporan Geladi Telkom University 2018
Laporan Geladi Telkom University 2018
 
Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019Laporan Kerja Praktik Telkom University 2019
Laporan Kerja Praktik Telkom University 2019
 
SONA: SDN based OpenStack Networking
SONA: SDN based OpenStack NetworkingSONA: SDN based OpenStack Networking
SONA: SDN based OpenStack Networking
 
Building ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSEBuilding ONOS Cluster in top of openSUSE
Building ONOS Cluster in top of openSUSE
 
LAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNINGLAPORAN KERJA PROYEK E-LEARNING
LAPORAN KERJA PROYEK E-LEARNING
 
Advantages using Internet of Things
Advantages using Internet of ThingsAdvantages using Internet of Things
Advantages using Internet of Things
 
Introduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NGIntroduction to GNS3 and EVE-NG
Introduction to GNS3 and EVE-NG
 
Rangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityRangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom University
 
Rangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom UniversityRangkuman UTS JTPT Telkom University
Rangkuman UTS JTPT Telkom University
 
Rangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom UniversityRangkuman UAS Ekonomi Teknik Telkom University
Rangkuman UAS Ekonomi Teknik Telkom University
 
Rangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom UniversityRangkuman UTS Ekonomi Teknik Telkom University
Rangkuman UTS Ekonomi Teknik Telkom University
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di Indonesia
 
Analisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di IndonesiaAnalisis Bisnis Vertical Farming di Indonesia
Analisis Bisnis Vertical Farming di Indonesia
 

Recently uploaded

Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdfPaparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
SEMUELSAMBOKARAENG
 

Recently uploaded (20)

Teori Profetik Kuntowijoyo (Dosen Pengampu: Khoirin Nisai Shalihati)
Teori Profetik Kuntowijoyo (Dosen Pengampu: Khoirin Nisai Shalihati)Teori Profetik Kuntowijoyo (Dosen Pengampu: Khoirin Nisai Shalihati)
Teori Profetik Kuntowijoyo (Dosen Pengampu: Khoirin Nisai Shalihati)
 
CONTOH LAPORAN PARTISIPAN OBSERVASI.docx
CONTOH LAPORAN PARTISIPAN OBSERVASI.docxCONTOH LAPORAN PARTISIPAN OBSERVASI.docx
CONTOH LAPORAN PARTISIPAN OBSERVASI.docx
 
Seminar: Sekolah Alkitab Liburan (SAL) 2024
Seminar: Sekolah Alkitab Liburan (SAL) 2024Seminar: Sekolah Alkitab Liburan (SAL) 2024
Seminar: Sekolah Alkitab Liburan (SAL) 2024
 
Laporan Piket Guru untuk bukti dukung PMM.pdf
Laporan Piket Guru untuk bukti dukung PMM.pdfLaporan Piket Guru untuk bukti dukung PMM.pdf
Laporan Piket Guru untuk bukti dukung PMM.pdf
 
1. Standar Operasional Prosedur PPDB Pada paud
1. Standar Operasional Prosedur PPDB Pada paud1. Standar Operasional Prosedur PPDB Pada paud
1. Standar Operasional Prosedur PPDB Pada paud
 
Sosialisme Kapitalis Karl Marx (Dosen Pengampu: Khoirin Nisai Shalihati)
Sosialisme Kapitalis Karl Marx (Dosen Pengampu: Khoirin Nisai Shalihati)Sosialisme Kapitalis Karl Marx (Dosen Pengampu: Khoirin Nisai Shalihati)
Sosialisme Kapitalis Karl Marx (Dosen Pengampu: Khoirin Nisai Shalihati)
 
LK 1 - 5T Keputusan Berdampak (1). SDN 001 BU.pdf
LK 1 - 5T Keputusan Berdampak (1). SDN 001 BU.pdfLK 1 - 5T Keputusan Berdampak (1). SDN 001 BU.pdf
LK 1 - 5T Keputusan Berdampak (1). SDN 001 BU.pdf
 
MODUL AJAR BAHASA INGGRIS KELAS 2 KURIKULUM MERDEKA
MODUL AJAR BAHASA INGGRIS KELAS 2 KURIKULUM MERDEKAMODUL AJAR BAHASA INGGRIS KELAS 2 KURIKULUM MERDEKA
MODUL AJAR BAHASA INGGRIS KELAS 2 KURIKULUM MERDEKA
 
MODUL AJAR BAHASA INDONESIA KELAS 1 KURIKULUM MERDEKA.pdf
MODUL AJAR BAHASA INDONESIA KELAS 1 KURIKULUM MERDEKA.pdfMODUL AJAR BAHASA INDONESIA KELAS 1 KURIKULUM MERDEKA.pdf
MODUL AJAR BAHASA INDONESIA KELAS 1 KURIKULUM MERDEKA.pdf
 
ALUR TUJUAN PEMBELAJARAN (ATP) B. Inggris kelas 7.pdf
ALUR TUJUAN PEMBELAJARAN (ATP) B. Inggris kelas 7.pdfALUR TUJUAN PEMBELAJARAN (ATP) B. Inggris kelas 7.pdf
ALUR TUJUAN PEMBELAJARAN (ATP) B. Inggris kelas 7.pdf
 
Sejarah dan Perkembangan Agama Hindu.pptx
Sejarah dan Perkembangan Agama Hindu.pptxSejarah dan Perkembangan Agama Hindu.pptx
Sejarah dan Perkembangan Agama Hindu.pptx
 
PPT Aksi Nyata Diseminasi Modul 1.4.pptx
PPT Aksi Nyata Diseminasi Modul 1.4.pptxPPT Aksi Nyata Diseminasi Modul 1.4.pptx
PPT Aksi Nyata Diseminasi Modul 1.4.pptx
 
PELAKSANAAN (di Hotel 101 Urban Thamrin Jkt) + Link2 MATERI Training_ "Effect...
PELAKSANAAN (di Hotel 101 Urban Thamrin Jkt) + Link2 MATERI Training_ "Effect...PELAKSANAAN (di Hotel 101 Urban Thamrin Jkt) + Link2 MATERI Training_ "Effect...
PELAKSANAAN (di Hotel 101 Urban Thamrin Jkt) + Link2 MATERI Training_ "Effect...
 
Bukti dukung E kinerja kepala sekolah.pdf
Bukti dukung E kinerja  kepala sekolah.pdfBukti dukung E kinerja  kepala sekolah.pdf
Bukti dukung E kinerja kepala sekolah.pdf
 
MODUL AJAR PENDIDIKAN PANCASILA (PPKN) KELAS 1 KURIKULUM MERDEKA.pdf
MODUL AJAR PENDIDIKAN PANCASILA (PPKN) KELAS 1 KURIKULUM MERDEKA.pdfMODUL AJAR PENDIDIKAN PANCASILA (PPKN) KELAS 1 KURIKULUM MERDEKA.pdf
MODUL AJAR PENDIDIKAN PANCASILA (PPKN) KELAS 1 KURIKULUM MERDEKA.pdf
 
KOMITMEN MENULIS DI BLOG KBMN PB PGRI.ppt
KOMITMEN MENULIS DI BLOG KBMN PB PGRI.pptKOMITMEN MENULIS DI BLOG KBMN PB PGRI.ppt
KOMITMEN MENULIS DI BLOG KBMN PB PGRI.ppt
 
RUBRIK OBSERVASI KINERJA KEPALA SEKOLAH.docx
RUBRIK OBSERVASI KINERJA KEPALA SEKOLAH.docxRUBRIK OBSERVASI KINERJA KEPALA SEKOLAH.docx
RUBRIK OBSERVASI KINERJA KEPALA SEKOLAH.docx
 
Program Kerja Kepala Sekolah 2023-2024.pdf
Program Kerja Kepala Sekolah 2023-2024.pdfProgram Kerja Kepala Sekolah 2023-2024.pdf
Program Kerja Kepala Sekolah 2023-2024.pdf
 
Presentasi visi misi revisi sekolah dasar.pptx
Presentasi visi misi revisi sekolah dasar.pptxPresentasi visi misi revisi sekolah dasar.pptx
Presentasi visi misi revisi sekolah dasar.pptx
 
Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdfPaparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
Paparan Kurikulum Satuan Pendidikan_LOKAKARYA TPK 2024.pptx.pdf
 

Makalah Aljabar Boolean dan Rangkaian Logika

  • 1. RANGKAIAN WATER LEVEL MONITORING Untuk memenuhi tugas mata kuliah “Aljabar Boolean dan Rangkaian Logika” Disusun Oleh : Zufar Dhiyaulhaq ( NIM 1101164217 ) PROGRAM STUDI S1 TEKNIK TELEKOMUNIKASI FAKULTAS TEKNIK ELEKTRO UNIVERSITAS TELKOM BANDUNG 2017
  • 2. i KATA PENGANTAR Syukur Alhamdulillah saya ucapkan kehadirat Allah SWT. Atas limpahan rahmat dan karunia-Nya sehingga saya dapat menyelesaikan laporan ini. Adapun tujuan dari penyusunan laporan ini adalah salah satu syarat yang harus dipenuhi untuk mengikuti UAS di Universitas Telkom. Dengan terselesaikannya laporan ini, saya ingin menyampaikan terima kasih semua pihak yang telah membantu dalam penyusunannya terutama kepada : 1. Bapak Dharu Arseno, S.T., M.T. Selaku dosen. 2. Laboratorium Teknik Digital yang telah mengenalkan lebih dalam tentang VHDL. 3. Teman-teman dan semua pihak yang telah membantu di dalam proses penyusunan laporan ini. Semoga segala bantuan dan pengorbanannya mendapatkan balasan yang setimpal dari Allah SWT, Amin. Akhirnya, saya berharap semoga dapat bermanfaat bagi semua pihak. Bandung 15 November, 2017 Penyusun
  • 3. ii DAFTAR ISI KATA PENGANTAR...........................................................................................................i DAFTAR ISI........................................................................................................................ii DESKRIPSI RANGKAIAN............................................................................................... 1 PROGRAM VHDL..............................................................................................................4 GAMBAR RANGKAIAN..................................................................................................5 HASIL SIMULASI..............................................................................................................5 LAMPIRAN.........................................................................................................................8
  • 4. 1 DESKRIPSI RANGKAIAN Program Rangkaian Water Level Monitoring adalah sebuah program yang bertujuan untuk memonitor ketinggian air di sungai ataupun bendungan. Program ini memiliki tiga masukan yaitu berupa bit-bit yang berasal dari sensor yang sudah dipasang di bendungan ataupun sungai. Program ini akan memproses masukan tersebut sedemikian rupa sehingga dapat menghasilkan bit-bit keluaran yang dapat menyalakan lampu monitoring, mengirimkan pemberitahuan berupa SMS dan juga menyalakan alarm tanda bahaya. Jika sensor sudah mendeteksi bahwa air mencapai level tertentu, maka program akan menyalakan lampu sebagai pemberitahuannya. Program ini mempunyai tiga level pendeteksian, yaitu : 1. Level satu, merupakan level yang menandakan bahwa ketinggian air normal. 2. Level dua, level yang menandakan bahwa ketinggian air melebihi standar keamanan, dan dapat dilakukan pemantauan secara intensif. 3. Level tiga, level yang menandakan bahwa harus segera dilakukan penanganan dan evakuasi daerah sekitar.
  • 5. 2 Selain lampu, program akan mengirimkan SMS yang berupa SMS penanda bahaya (warning sms) dan SMS untuk melakukan evakuasi (danger sms) dan membunyikan alarm. Deskripsi Lampu : 1. Lampu merah sebagai penanda bahaya. 2. Lampu kuning sebagai peringatan. 3. Lampu hijau sebagai penanda aman. Tabel Kebenaran K-MAP Keluaran lamp_green y=A'B'+A'C' lamp_yellow y = AB' + AC' + A'BC Input Output level_3 level_2 level_1 lamp_green lamp_yellow Lamp_red Alarm sms_danger sms_warning 0 0 0 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 0 0 1 1 1 0
  • 6. 3 lamp_red alarm y= ABC y= ABC sms_danger sms_warning y= ABC y= A’BC Catatan : 1. A merupakan level 3 2. B merupakan level 2 3. C merupakan level 1
  • 7. 4 PROGRAM VHDL library ieee; use ieee.std_logic_1164.all; entity water_level is port ( level_1 : in std_logic; level_2 : in std_logic; level_3 : in std_logic; lamp_green : out std_logic; lamp_yellow : out std_logic; lamp_red : out std_logic; alarm : out std_logic; sms_danger : out std_logic; sms_warning : out std_logic ); end water_level; architecture program of water_level is begin lamp_red <= level_1 and level_2 and level_3; alarm <= level_1 and level_2 and level_3; sms_danger <= level_1 and level_2 and level_3; lamp_yellow <= (level_3 and not level_2) or (level_3 and not level_1) or (not level_3 and level_2 and level_1); lamp_green <= (not level_3 and not level_2) or (not level_3 and not level_1); sms_warning <= level_1 and level_2 and not level_3; end program;
  • 8. 5 GAMBAR RANGKAIAN Gambar rangkaian ini didapatkan dengan menggunakan perangkat lunak Quartus II. Untuk mendapatkan gambar rangkaian ini, Compile terlebih dahulu program yang sudah dibuat, lalu pergi ke menu Tools > Netlist Viewers > RTL Viewer. HASIL SIMULASI Hasil simulasi ini didapatkan dengan menggunakan perangkat lunak Quartus II. Input yang dimasukan adalah input dari sensor. Untuk menjalankan simulasi, program harus terlebih dahulu di compile. Lalu buat file baru dengan File > New > University Program VWF.
  • 9. 6 Lalu tambahkan node yang berupa input dan outputnya dengan pergi kemenu Edit > Insert > Insert node or bus. Pada Node Finder, pilih list lalu masukan semua nodenya. atur inputnya terlebih dahulu. Input akan diatur sehingga memenuhi semua tabel kebenaran yang telah dibuat.
  • 10. 7 Jika sudah, lakukan simulasi dengan Simulation > Run Fungtional Simulation. Maka hasil simulasi akan keluar untuk semua outputnya. Hasil simulasi akan sama dengan tabel kebenaran yang sudah dibuat, contohnya adalah lampu hijau (lamp_green) akan menyala jika sensor tidak mendeteksi air, ataupun sensor mendeteksi air pada sensor level 1 ataupun hanya level 2.
  • 11. 8 LAMPIRAN Jenis Tipe Data VHDL VHDL mempunyai beberapa standar tipe data. Tipe data ini terbagi menjadi tipe data predefined dan tipe data buatan standar. Tipe data predefined adalah tipe predefined adalah tipe data yang sudah ada didalam bahasa VHDL. Contoh data tipe data ini adalah BIT, BOOLEAN, dan INTEGER. BIT Tipe data bit adalah tipe data yang hanya berisi dua angka, yaitu 0 dan 1. Contoh : signal BitSig : bit; BitSig1 <= '1'; BOOLEAN Tipe data boolean adalah tipe data yang hanya berisi dua kata, yaitu false dan true. Contoh : signal CondSup : boolean; CondSup <= true; INTEGER Tipe data integer pada VHDL adalah tipe data yang berisi angka integer dengan jarak tertentu. Contoh : type Voltage_Level is range 0 to 5; Tipe data buatan standar adalah tipe data yang dibuat atau didefinisikan pada standar tertentu. Contohnya STD_LOGIC ataupun STD_LOGIC_VECTOR yang merupakan tipe data standar IEEE yang didefinisikan pada library STD_LOGIC_1164.