SlideShare a Scribd company logo
1 of 40
Gandhinagar Institute Of
Technology
Subject – Digital Electronics (2140910)
Branch – Electrical
Topic – K - Map
Name Enrollment No.
Abhishek Chokshi 140120109005
Himal Desai 140120109008
Harsh Dedakia 140120109012
Guided By – Prof. Gunjan Sir
The Karnaugh Map
Karnaugh Maps
• Karnaugh maps (K-maps) are graphical representations of
Boolean functions.
• One map cell corresponds to a row in the truth table.
• Also, one map cell corresponds to a minterm or a maxterm in
the Boolean expression
• Multiple-cell areas of the map correspond to standard terms.
• A K-map provides a systematic method for simplifying Boolean
expressions and, if properly used, will produce the simplest SOP
or POS expression possible, known as the minimum expression.
What is K-Map
• It’s similar to truth table; instead of being organized
(i/p and o/p) into columns and rows, the K-map is an
array of cells in which each cell represents a binary
value of the input variables.
• The cells are arranged in a way so that simplification
of a given expression is simply a matter of properly
grouping the cells.
• K-maps can be used for expressions with 2, 3, 4, and
5 variables.
Two-Variable Map
m3m21
m1m00
10x1
x2
0 1
2 3
 ordering of variables is IMPORTANT for f(x1,x2), x1 is
the row, x2 is the column.
 Cell 0 represents x1’x2’; Cell 1 represents x1’x2; etc. If
a minterm is present in the function, then a 1 is
placed in the corresponding cell.
m3m11
m2m00
10x2
x1
0 2
1 3
OR
Two-Variable Map
• Any two adjacent cells in the map differ by ONLY one variable,
which appears complemented in one cell and
uncomplemented in the other.
• Example:
m0 (=x1’x2’) is adjacent to m1 (=x1’x2) and m2 (=x1x2’) but NOT m3
(=x1x2)
2-Variable Map -- Example
• f(x1,x2) = x1’x2’+ x1’x2 + x1x2’
= m0 + m1 + m2
= x1’ + x2’
• 1s placed in K-map for specified minterms m0,
m1, m2
• Grouping of 1s allows simplification
• What (simpler) function is represented by each
dashed rectangle?
– x1’ = m0 + m1
– x2’ = m0 + m2
• Here m0 covered twice
x1 0 1
0 1 1
1 1 0
x2
0 1
2 3
The 3 Variable K-Map
• There are 8 cells as shown:
C
AB
0 1
00
01
11
10
CBA CBA
CBA BCA
CAB ABC
CBA CBA
Example 3 var. k-map
 Minimize the following equation using k-map
y=ABC+ABC+ABC+ABC
_ _ _ _ _ _
ABC = 000 = 0
_ _ _
ABC = 010 = 2
_ _
ABC = 101 = 5
_
ABC = 111 = 7
Using this fill the k-map
• Grouping – here 2 groups of 2 1’s
Is possible
 For upper group A and C are
constants and B is varying.
Neglect B.A and C both are 0.
Hence output of this group is AC
• For upper group A and C are
constants and B is varying.
Neglect B.A and C both are 0.
Hence output of this group is AC
_ _
Y=AC+AC
_ _
 Thus output Y is given by ,
=A B⃝.
CD
AB
00 01 11 10
00
01
11
10 DCBA
DCAB
DCBA
DCBA
DCBA
DCAB
DCBA
DCBA
CDBA
ABCD
BCDA
CDBA
DCBA
DABC
DBCA
DCBA
The 4-Variable K-Map
CD
AB
00 01 11 10
00
01
11
10
Cell Adjacency
• Solve the given
k-map
• Step I -grouping
• Step II -output of
each group
• Step III -final output
 Here answer is ,
Y=CD+BC+BD
_ _ _
K-Map SOP Minimization
• The K-Map is used for simplifying Boolean expressions to their
minimal form.
• A minimized SOP expression contains the fewest possible
terms with fewest possible variables per term.
• Generally, a minimum SOP expression can be implemented
with fewer logic gates than a standard expression.
Grouping
Rules of grouping -
1’s & 0’s can
not be grouped
diagonal 1’s can
not be grouped
Elements in a group should be 2n
Minimum
Groups
should be
formed
For above
rule group
Overlapping
is applicable
Mapping a Standard SOP Expression
• For an SOP expression in
standard form:
– A 1 is placed on the K-map for each
product term in the expression.
– Each 1 is placed in a cell
corresponding to the value of a
product term.
– Example: for the product term ,
a 1 goes in the 101 cell on a 3-
variable map.
C
AB
0 1
00
01
11
10
CBA CBA
CBA BCA
CAB ABC
CBA CBA
CBA
C
AB
0 1
00
01
11
10
Mapping a Standard SOP Expression
The expression:
CBACABCBACBA 
000 001 110 100
1 1
1
1
DCBADCBADCABABCDDCABDCBACDBA
CBACBABCA
ABCCABCBACBA



Practice:
Three-Variable K-Maps
  CB(0,4)f   BA(4,5)f   B(0,1,4,5)f   A(0,1,2,3)f
BC
00
0
01
1
11 10A
1 0 0 0
1 0 0 0
BC
00
0
01
1
11 10A
0 0 0 0
1 1 0 0
BC
00
0
01
1
11 10A
1 1 1 1
0 0 0 0
BC
00
0
01
1
11 10A
1 1 0 0
1 1 0 0
  CA(0,4)f   CA(4,6)f   CA(0,2)f   C(0,2,4,6)f
BC
00
0
01
1
11 10A
0 1 1 0
0 0 0 0
BC
00
0
01
1
11 10A
0 0 0 0
1 0 0 1
BC
00
0
01
1
11 10A
1 0 0 1
1 0 0 1
BC
00
0
01
1
11 10A
1 0 0 1
0 0 0 0
Four-Variable K-Maps
  DCB(0,8)f   DCB(5,13)f   DBA(13,15)f   DBA(4,6)f
  CA(2,3,6,7)f   DB)(4,6,12,14f   CB)(2,3,10,11f   DB(0,2,8,10)f
CD
00
00
01
01
11
11
10
10
AB
1 0 0 0
0 0 0 0
0 0 0 0
1 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
0 1 0 0
0 1 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
0 0 0 0
0 1 1 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 0 0 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
0 0 1 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 0 0 1
1 0 0 1
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
0 0 0 0
0 0 0 0
0 0 1 1
CD
00
00
01
01
11
11
10
10
AB
1 0 0 1
0 0 0 0
0 0 0 0
1 0 0 1
Four-Variable K-Maps
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 1 1 1
0 0 0 0
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
0 0 1 0
0 0 1 0
0 0 1 0
0 0 1 0
CD
00
00
01
01
11
11
10
10
AB
1 0 1 0
0 1 0 1
1 0 1 0
0 1 0 1
CD
00
00
01
01
11
11
10
10
AB
0 1 0 1
1 0 1 0
0 1 0 1
1 0 1 0
CD
00
00
01
01
11
11
10
10
AB
0 1 1 0
0 1 1 0
0 1 1 0
0 1 1 0
CD
00
00
01
01
11
11
10
10
AB
1 0 0 1
1 0 0 1
1 0 0 1
1 0 0 1
CD
00
00
01
01
11
11
10
10
AB
0 0 0 0
1 1 1 1
1 1 1 1
0 0 0 0
CD
00
00
01
01
11
11
10
10
AB
1 1 1 1
0 0 0 0
0 0 0 0
1 1 1 1
f (4,5,6,7) A B   f (3,7,11,15) C D  
f (0,3,5,6,9,10,12,15)  f (1,2,4,7,8,11,13,14) 
f A B C D    f A B C D   
f (1,3,5,7,9,11,13,15)  f (0,2,4,6,8,10,12,14)  f (4,5,6,7,12,13,14,15)  f (0,1,2,3,8,9,10,11) 
f D f D f B f B
Determining the Minimum SOP Expression from the Map
CD
AB
00 01 11 10
00 1 1
01 1 1 1 1
11 1 1 1 1
10 1
B
CA
DCA
DCACAB 
Determining the Minimum SOP Expression from the Map
CBABCAB 
C
AB 0 1
00 1
01 1
11 1 1
10
C
AB 0 1
00 1 1
01 1
11 1
10 1 1
ACCAB 
Mapping Directly from a Truth Table
I/P O/P
A B C X
0 0 0 1
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1
C
AB
0 1
00
01
11
10
1
1
1
1
Don’t Care Conditions
• A don’t care condition, marked by (X) in the truth
table, indicates a condition where the design doesn’t
care if the output is a (0) or a (1).
• A don’t care condition can be treated as a (0) or a (1)
in a K-Map.
• Treating a don’t care as a (0) means that you do not
need to group it.
• Treating a don’t care as a (1) allows you to make a
grouping larger, resulting in a simpler term in the SOP
equation.
Some You Group, Some You Don’t
V
X 0
1 0
0 0
X 0
C C
BA
BA
BA
BA
CA
This don’t care condition was treated as a (1).
This allowed the grouping of a single one to
become a grouping of two, resulting in a simpler
term.
There was no advantage in treating this
don’t care condition as a (1), thus it was
treated as a (0) and not grouped.
Example
Solution:
SRTRF 4
R S T U F4
0 0 0 0 X
0 0 0 1 0
0 0 1 0 1
0 0 1 1 X
0 1 0 0 0
0 1 0 1 X
0 1 1 0 X
0 1 1 1 1
1 0 0 0 1
1 0 0 1 1
1 0 1 0 1
1 0 1 1 X
1 1 0 0 X
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0
V
X 0 X 1
0 X 1 X
X 0 0 0
1 1 X 1
SR
SR
SR
SR
UT UT UT UT
TR
SR
IMPLEMENTATION OF K-MAPS
- In some logic circuits, the output responses
for some input conditions are don’t care
whether they are 1 or 0.
 In K-maps, don’t-care conditions are represented
by d’s in the corresponding cells.
Don’t-care conditions are useful in minimizing
the logic functions using K-map.
- Can be considered either 1 or 0
- Thus increases the chances of merging cells into the larger cells
--> Reduce the number of variables in the product terms
x
y z
1 d d 1
d 1
x’
yz’
x
y
z
F
K-Map POS Minimization
• The approaches are much the same (as SOP) except that with
POS expression, 0s representing the standard sum terms are
placed on the K-map instead of 1s.
C
AB
0 1
00
01
11
10
Mapping a Standard POS Expression
The expression:
))()()(( CBACBACBACBA 
000 010 110 101
0
0
0
0
K-map Simplification of POS Expression
))()()()(( CBACBACBACBACBA 
C
AB
0 1
00
01
11
10 BA
0 0
0 0
0
AC
CB 
A
1
11
)( CBA 
ACBA 
IMPLEMENTATION OF K-MAPS - Sum-of-
Products Form -
 Logic function represented by a Karnaugh map
can be implemented in the form of not-AND-OR
 A cell or a collection of the adjacent 1-cells can
be realized by an AND gate, with some inversion of the input variables.
x
y
z
x’
y’
z’
x’
y
z’
x
y
z’
1 1
1
F(x,y,z) =  (0,2,6)
1 1
1
x’
z’
y
z’

x’
y
x
y
z’
x’
y’
z’
F
x
z
y
z
F
not AND OR
z’

IMPLEMENTATION OF K-MAPS - Product-of-
Sums Form -
 Logic function represented by a Karnaugh map
can be implemented in the form of I-OR-AND
 If we implement a Karnaugh map using 0-cells,
the complement of F, i.e., F’, can be obtained.
Thus, by complementing F’ using DeMorgan’s
theorem F can be obtained
F(x,y,z) = (0,2,6)
x
y
zx
y’
z
F’ = xy’ + z
F = (xy’)z’
= (x’ + y)z’
x
y
z
F
I OR AND
0 01 1
0 0 0 1
Design of combinational digital circuits
• Steps to design a combinational digital circuit:
– From the problem statement derive the truth table
– From the truth table derive the unsimplified logic
expression
– Simplify the logic expression
– From the simplified expression draw the logic circuit
Example: Design a 3-input (A,B,C) digital circuit that will give at its output
(X) a logic 1 only if the binary number formed at the input has more ones
than zeros.
BCABACX 
A B C
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
X
0
0
0
1
0
1
1
1
Inputs Output
0
1
2
3
4
5
6
7
BC
00
0
01
1
11 10A
0 0 1 0
0 1 1 1
A B C
X
 7)6,5,(3,X
CBABACAX 
A B C
X
 ,7,8,9)(2,3,4,5,6XA B C
0
0
0
0
0
1
X
0
0
Inputs Output
0
1
D
0
0
0 0 0 12 1
0 0 1 13 1
0 1 0 14 0
0 1 1 15 0
0 1 0 16 1
0 1 1 17 1
1 0 0 18 0
1 0 1 19 0
1 0 0 010 1
1 0 1 011 1
1 1 0 012 0
1 1 1 013 0
1 1 0 014 1
1 1 1 015 1 D
CD
00
00
01
01
11
11
10
10
AB
0 0 1 1
1 1 1 1
0 0 0 0
1 1 0 0
X
Same
Example: Design a 4-input (A,B,C,D) digital circuit that will give at
its output (X) a logic 1 only if the binary number formed at the
input is between 2 and 9 (including).
Gandhinagar Institute Of Technology K-Map Guide

More Related Content

What's hot

Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuitsgourav kottawar
 
Registers and counters
Registers and counters Registers and counters
Registers and counters Deepak John
 
Multiplexer and DeMultiplexer
Multiplexer and DeMultiplexerMultiplexer and DeMultiplexer
Multiplexer and DeMultiplexerEstiak Khan
 
Flip-Flop || Digital Electronics
Flip-Flop || Digital ElectronicsFlip-Flop || Digital Electronics
Flip-Flop || Digital ElectronicsMd Sadequl Islam
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flopShuaib Hotak
 
Parity Generator and Parity Checker
Parity Generator and Parity CheckerParity Generator and Parity Checker
Parity Generator and Parity CheckerJignesh Navdiya
 
Digital Electronics Question Bank
Digital Electronics Question BankDigital Electronics Question Bank
Digital Electronics Question BankMathankumar S
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic CircuitRamasubbu .P
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits DrSonali Vyas
 
Signals & Systems PPT
Signals & Systems PPTSignals & Systems PPT
Signals & Systems PPTJay Baria
 
Encoder & Decoder
Encoder & DecoderEncoder & Decoder
Encoder & DecoderSyed Saeed
 
Boolean algebra And Logic Gates
Boolean algebra And Logic GatesBoolean algebra And Logic Gates
Boolean algebra And Logic GatesKumar
 
J - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSJ - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSKrishma Parekh
 

What's hot (20)

Bcd
BcdBcd
Bcd
 
13 Boolean Algebra
13 Boolean Algebra13 Boolean Algebra
13 Boolean Algebra
 
Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
 
Registers and counters
Registers and counters Registers and counters
Registers and counters
 
Multiplexer and DeMultiplexer
Multiplexer and DeMultiplexerMultiplexer and DeMultiplexer
Multiplexer and DeMultiplexer
 
Flip-Flop || Digital Electronics
Flip-Flop || Digital ElectronicsFlip-Flop || Digital Electronics
Flip-Flop || Digital Electronics
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
 
Parity Generator and Parity Checker
Parity Generator and Parity CheckerParity Generator and Parity Checker
Parity Generator and Parity Checker
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Shift Registers
Shift RegistersShift Registers
Shift Registers
 
Digital Electronics Question Bank
Digital Electronics Question BankDigital Electronics Question Bank
Digital Electronics Question Bank
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Boolean Algebra
Boolean AlgebraBoolean Algebra
Boolean Algebra
 
Combinational circuits
Combinational circuits Combinational circuits
Combinational circuits
 
Chapter 4: Combinational Logic
Chapter 4: Combinational LogicChapter 4: Combinational Logic
Chapter 4: Combinational Logic
 
K map
K mapK map
K map
 
Signals & Systems PPT
Signals & Systems PPTSignals & Systems PPT
Signals & Systems PPT
 
Encoder & Decoder
Encoder & DecoderEncoder & Decoder
Encoder & Decoder
 
Boolean algebra And Logic Gates
Boolean algebra And Logic GatesBoolean algebra And Logic Gates
Boolean algebra And Logic Gates
 
J - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPSJ - K & MASTERSLAVE FLIPFLOPS
J - K & MASTERSLAVE FLIPFLOPS
 

Viewers also liked

Viewers also liked (20)

K map
K mapK map
K map
 
Karnaugh Graph or K-Map
Karnaugh Graph or K-MapKarnaugh Graph or K-Map
Karnaugh Graph or K-Map
 
Basics of K map
Basics of K mapBasics of K map
Basics of K map
 
Karnaugh Maps
Karnaugh MapsKarnaugh Maps
Karnaugh Maps
 
Kmap Slideshare
Kmap SlideshareKmap Slideshare
Kmap Slideshare
 
Karnaugh Map
Karnaugh MapKarnaugh Map
Karnaugh Map
 
Karnaugh Mapping Explained
Karnaugh Mapping ExplainedKarnaugh Mapping Explained
Karnaugh Mapping Explained
 
K-map method
K-map methodK-map method
K-map method
 
KMAP
KMAPKMAP
KMAP
 
The Karnaugh Map
The Karnaugh MapThe Karnaugh Map
The Karnaugh Map
 
Karnaugh maps
Karnaugh mapsKarnaugh maps
Karnaugh maps
 
Discrete Fourier Transform
Discrete Fourier TransformDiscrete Fourier Transform
Discrete Fourier Transform
 
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGNSEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic design
 
Boolean algebra
Boolean algebraBoolean algebra
Boolean algebra
 
Polymorphism
PolymorphismPolymorphism
Polymorphism
 
Design half ,full Adder and Subtractor
Design half ,full Adder and SubtractorDesign half ,full Adder and Subtractor
Design half ,full Adder and Subtractor
 
CBSE XII Boolean Algebra
CBSE XII Boolean AlgebraCBSE XII Boolean Algebra
CBSE XII Boolean Algebra
 
Subtracter
SubtracterSubtracter
Subtracter
 
KARNAUGH MAPS
KARNAUGH MAPSKARNAUGH MAPS
KARNAUGH MAPS
 

Similar to Gandhinagar Institute Of Technology K-Map Guide

Similar to Gandhinagar Institute Of Technology K-Map Guide (20)

3,EEng k-map.pdf
3,EEng k-map.pdf3,EEng k-map.pdf
3,EEng k-map.pdf
 
Karnaugh map
Karnaugh mapKarnaugh map
Karnaugh map
 
elec2200-6.pdf
elec2200-6.pdfelec2200-6.pdf
elec2200-6.pdf
 
pos and do not care ppt.pptx
pos and do not care ppt.pptxpos and do not care ppt.pptx
pos and do not care ppt.pptx
 
Chapter-3.pdf
Chapter-3.pdfChapter-3.pdf
Chapter-3.pdf
 
Chapter-3.pdf
Chapter-3.pdfChapter-3.pdf
Chapter-3.pdf
 
kmap.pptx
kmap.pptxkmap.pptx
kmap.pptx
 
Chapter-3.ppt
Chapter-3.pptChapter-3.ppt
Chapter-3.ppt
 
Learning Kmap
Learning KmapLearning Kmap
Learning Kmap
 
1سلمي 2
1سلمي 21سلمي 2
1سلمي 2
 
kmaps
 kmaps kmaps
kmaps
 
STLD- Switching functions
STLD- Switching functions STLD- Switching functions
STLD- Switching functions
 
Kmap Slideshare
Kmap SlideshareKmap Slideshare
Kmap Slideshare
 
Kmaps By Ms Nita Arora
Kmaps By Ms Nita AroraKmaps By Ms Nita Arora
Kmaps By Ms Nita Arora
 
Chapter 2 Boolean Algebra (part 2)
Chapter 2 Boolean Algebra (part 2)Chapter 2 Boolean Algebra (part 2)
Chapter 2 Boolean Algebra (part 2)
 
Karnaugh maps z 88
Karnaugh maps   z  88Karnaugh maps   z  88
Karnaugh maps z 88
 
WEEK 4- DLD-GateLvelMinimization.pptx
WEEK 4- DLD-GateLvelMinimization.pptxWEEK 4- DLD-GateLvelMinimization.pptx
WEEK 4- DLD-GateLvelMinimization.pptx
 
PRINCIPLES OF COMBINATIONAL LOGIC-2
PRINCIPLES OF COMBINATIONAL LOGIC-2PRINCIPLES OF COMBINATIONAL LOGIC-2
PRINCIPLES OF COMBINATIONAL LOGIC-2
 
Ch1-2, Digital Logic Circuit and Digital Components.ppt
Ch1-2, Digital Logic Circuit and Digital Components.pptCh1-2, Digital Logic Circuit and Digital Components.ppt
Ch1-2, Digital Logic Circuit and Digital Components.ppt
 
Kmaps.ppt
Kmaps.pptKmaps.ppt
Kmaps.ppt
 

More from Abhishek Choksi

Industrial Instrumentation (2170913) (Variable Inductance & Capacitance Tran...
Industrial Instrumentation (2170913)  (Variable Inductance & Capacitance Tran...Industrial Instrumentation (2170913)  (Variable Inductance & Capacitance Tran...
Industrial Instrumentation (2170913) (Variable Inductance & Capacitance Tran...Abhishek Choksi
 
Switch Gear & Protection (2170908) (Generator Protection)
Switch Gear & Protection (2170908) (Generator Protection)Switch Gear & Protection (2170908) (Generator Protection)
Switch Gear & Protection (2170908) (Generator Protection)Abhishek Choksi
 
Design of Magnetic Circuit for Synchronous Machine
Design of Magnetic Circuit for Synchronous MachineDesign of Magnetic Circuit for Synchronous Machine
Design of Magnetic Circuit for Synchronous MachineAbhishek Choksi
 
Inter Connected Power System (2170901)
Inter Connected Power System (2170901)Inter Connected Power System (2170901)
Inter Connected Power System (2170901)Abhishek Choksi
 
Closed loop speed control
Closed loop speed controlClosed loop speed control
Closed loop speed controlAbhishek Choksi
 
Synthesis of unsymmetrical phasors from their symmetrical components
Synthesis of unsymmetrical phasors from their symmetrical componentsSynthesis of unsymmetrical phasors from their symmetrical components
Synthesis of unsymmetrical phasors from their symmetrical componentsAbhishek Choksi
 
Measurement of hvac (High Voltage Engineering )
Measurement  of  hvac (High Voltage Engineering )Measurement  of  hvac (High Voltage Engineering )
Measurement of hvac (High Voltage Engineering )Abhishek Choksi
 
Supply system (Electrical Power System)
Supply system (Electrical Power System)Supply system (Electrical Power System)
Supply system (Electrical Power System)Abhishek Choksi
 
Design of dc armature winding
Design of dc armature windingDesign of dc armature winding
Design of dc armature windingAbhishek Choksi
 
8051 microcontroller and it’s interface
8051 microcontroller and it’s interface8051 microcontroller and it’s interface
8051 microcontroller and it’s interfaceAbhishek Choksi
 
Principle of regenerative braking and chopper configuration
Principle of regenerative braking and chopper configurationPrinciple of regenerative braking and chopper configuration
Principle of regenerative braking and chopper configurationAbhishek Choksi
 
Web application attack and audit framework (w3af)
Web application attack and audit framework (w3af)Web application attack and audit framework (w3af)
Web application attack and audit framework (w3af)Abhishek Choksi
 
Solar Energy presentation
Solar Energy presentationSolar Energy presentation
Solar Energy presentationAbhishek Choksi
 

More from Abhishek Choksi (20)

Industrial Instrumentation (2170913) (Variable Inductance & Capacitance Tran...
Industrial Instrumentation (2170913)  (Variable Inductance & Capacitance Tran...Industrial Instrumentation (2170913)  (Variable Inductance & Capacitance Tran...
Industrial Instrumentation (2170913) (Variable Inductance & Capacitance Tran...
 
Switch Gear & Protection (2170908) (Generator Protection)
Switch Gear & Protection (2170908) (Generator Protection)Switch Gear & Protection (2170908) (Generator Protection)
Switch Gear & Protection (2170908) (Generator Protection)
 
Design of Magnetic Circuit for Synchronous Machine
Design of Magnetic Circuit for Synchronous MachineDesign of Magnetic Circuit for Synchronous Machine
Design of Magnetic Circuit for Synchronous Machine
 
Inter Connected Power System (2170901)
Inter Connected Power System (2170901)Inter Connected Power System (2170901)
Inter Connected Power System (2170901)
 
Third harmonic pwm
Third harmonic pwmThird harmonic pwm
Third harmonic pwm
 
Electric welding
Electric weldingElectric welding
Electric welding
 
Closed loop speed control
Closed loop speed controlClosed loop speed control
Closed loop speed control
 
Synthesis of unsymmetrical phasors from their symmetrical components
Synthesis of unsymmetrical phasors from their symmetrical componentsSynthesis of unsymmetrical phasors from their symmetrical components
Synthesis of unsymmetrical phasors from their symmetrical components
 
Design of lv winding
Design  of  lv  windingDesign  of  lv  winding
Design of lv winding
 
Measurement of hvac (High Voltage Engineering )
Measurement  of  hvac (High Voltage Engineering )Measurement  of  hvac (High Voltage Engineering )
Measurement of hvac (High Voltage Engineering )
 
Supply system (Electrical Power System)
Supply system (Electrical Power System)Supply system (Electrical Power System)
Supply system (Electrical Power System)
 
Design of dc armature winding
Design of dc armature windingDesign of dc armature winding
Design of dc armature winding
 
8051 microcontroller and it’s interface
8051 microcontroller and it’s interface8051 microcontroller and it’s interface
8051 microcontroller and it’s interface
 
Principle of regenerative braking and chopper configuration
Principle of regenerative braking and chopper configurationPrinciple of regenerative braking and chopper configuration
Principle of regenerative braking and chopper configuration
 
Root locus
Root locus Root locus
Root locus
 
Web application attack and audit framework (w3af)
Web application attack and audit framework (w3af)Web application attack and audit framework (w3af)
Web application attack and audit framework (w3af)
 
Blue led
Blue ledBlue led
Blue led
 
Mars orbiter mission
Mars orbiter missionMars orbiter mission
Mars orbiter mission
 
Solar Energy presentation
Solar Energy presentationSolar Energy presentation
Solar Energy presentation
 
ultrasonic
ultrasonicultrasonic
ultrasonic
 

Recently uploaded

Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 

Recently uploaded (20)

Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 

Gandhinagar Institute Of Technology K-Map Guide

  • 1. Gandhinagar Institute Of Technology Subject – Digital Electronics (2140910) Branch – Electrical Topic – K - Map
  • 2. Name Enrollment No. Abhishek Chokshi 140120109005 Himal Desai 140120109008 Harsh Dedakia 140120109012 Guided By – Prof. Gunjan Sir
  • 4. Karnaugh Maps • Karnaugh maps (K-maps) are graphical representations of Boolean functions. • One map cell corresponds to a row in the truth table. • Also, one map cell corresponds to a minterm or a maxterm in the Boolean expression • Multiple-cell areas of the map correspond to standard terms. • A K-map provides a systematic method for simplifying Boolean expressions and, if properly used, will produce the simplest SOP or POS expression possible, known as the minimum expression.
  • 5. What is K-Map • It’s similar to truth table; instead of being organized (i/p and o/p) into columns and rows, the K-map is an array of cells in which each cell represents a binary value of the input variables. • The cells are arranged in a way so that simplification of a given expression is simply a matter of properly grouping the cells. • K-maps can be used for expressions with 2, 3, 4, and 5 variables.
  • 6. Two-Variable Map m3m21 m1m00 10x1 x2 0 1 2 3  ordering of variables is IMPORTANT for f(x1,x2), x1 is the row, x2 is the column.  Cell 0 represents x1’x2’; Cell 1 represents x1’x2; etc. If a minterm is present in the function, then a 1 is placed in the corresponding cell. m3m11 m2m00 10x2 x1 0 2 1 3 OR
  • 7. Two-Variable Map • Any two adjacent cells in the map differ by ONLY one variable, which appears complemented in one cell and uncomplemented in the other. • Example: m0 (=x1’x2’) is adjacent to m1 (=x1’x2) and m2 (=x1x2’) but NOT m3 (=x1x2)
  • 8. 2-Variable Map -- Example • f(x1,x2) = x1’x2’+ x1’x2 + x1x2’ = m0 + m1 + m2 = x1’ + x2’ • 1s placed in K-map for specified minterms m0, m1, m2 • Grouping of 1s allows simplification • What (simpler) function is represented by each dashed rectangle? – x1’ = m0 + m1 – x2’ = m0 + m2 • Here m0 covered twice x1 0 1 0 1 1 1 1 0 x2 0 1 2 3
  • 9. The 3 Variable K-Map • There are 8 cells as shown: C AB 0 1 00 01 11 10 CBA CBA CBA BCA CAB ABC CBA CBA
  • 10. Example 3 var. k-map  Minimize the following equation using k-map y=ABC+ABC+ABC+ABC _ _ _ _ _ _ ABC = 000 = 0 _ _ _ ABC = 010 = 2 _ _ ABC = 101 = 5 _ ABC = 111 = 7 Using this fill the k-map • Grouping – here 2 groups of 2 1’s Is possible
  • 11.  For upper group A and C are constants and B is varying. Neglect B.A and C both are 0. Hence output of this group is AC • For upper group A and C are constants and B is varying. Neglect B.A and C both are 0. Hence output of this group is AC _ _ Y=AC+AC _ _  Thus output Y is given by , =A B⃝.
  • 12. CD AB 00 01 11 10 00 01 11 10 DCBA DCAB DCBA DCBA DCBA DCAB DCBA DCBA CDBA ABCD BCDA CDBA DCBA DABC DBCA DCBA The 4-Variable K-Map
  • 13. CD AB 00 01 11 10 00 01 11 10 Cell Adjacency
  • 14. • Solve the given k-map • Step I -grouping • Step II -output of each group • Step III -final output  Here answer is , Y=CD+BC+BD _ _ _
  • 15. K-Map SOP Minimization • The K-Map is used for simplifying Boolean expressions to their minimal form. • A minimized SOP expression contains the fewest possible terms with fewest possible variables per term. • Generally, a minimum SOP expression can be implemented with fewer logic gates than a standard expression.
  • 16. Grouping Rules of grouping - 1’s & 0’s can not be grouped diagonal 1’s can not be grouped
  • 17. Elements in a group should be 2n
  • 18. Minimum Groups should be formed For above rule group Overlapping is applicable
  • 19.
  • 20. Mapping a Standard SOP Expression • For an SOP expression in standard form: – A 1 is placed on the K-map for each product term in the expression. – Each 1 is placed in a cell corresponding to the value of a product term. – Example: for the product term , a 1 goes in the 101 cell on a 3- variable map. C AB 0 1 00 01 11 10 CBA CBA CBA BCA CAB ABC CBA CBA CBA
  • 21. C AB 0 1 00 01 11 10 Mapping a Standard SOP Expression The expression: CBACABCBACBA  000 001 110 100 1 1 1 1 DCBADCBADCABABCDDCABDCBACDBA CBACBABCA ABCCABCBACBA    Practice:
  • 22. Three-Variable K-Maps   CB(0,4)f   BA(4,5)f   B(0,1,4,5)f   A(0,1,2,3)f BC 00 0 01 1 11 10A 1 0 0 0 1 0 0 0 BC 00 0 01 1 11 10A 0 0 0 0 1 1 0 0 BC 00 0 01 1 11 10A 1 1 1 1 0 0 0 0 BC 00 0 01 1 11 10A 1 1 0 0 1 1 0 0   CA(0,4)f   CA(4,6)f   CA(0,2)f   C(0,2,4,6)f BC 00 0 01 1 11 10A 0 1 1 0 0 0 0 0 BC 00 0 01 1 11 10A 0 0 0 0 1 0 0 1 BC 00 0 01 1 11 10A 1 0 0 1 1 0 0 1 BC 00 0 01 1 11 10A 1 0 0 1 0 0 0 0
  • 23. Four-Variable K-Maps   DCB(0,8)f   DCB(5,13)f   DBA(13,15)f   DBA(4,6)f   CA(2,3,6,7)f   DB)(4,6,12,14f   CB)(2,3,10,11f   DB(0,2,8,10)f CD 00 00 01 01 11 11 10 10 AB 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 1 CD 00 00 01 01 11 11 10 10 AB 1 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1
  • 24. Four-Variable K-Maps CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 CD 00 00 01 01 11 11 10 10 AB 1 0 1 0 0 1 0 1 1 0 1 0 0 1 0 1 CD 00 00 01 01 11 11 10 10 AB 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 0 CD 00 00 01 01 11 11 10 10 AB 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 CD 00 00 01 01 11 11 10 10 AB 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 CD 00 00 01 01 11 11 10 10 AB 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 CD 00 00 01 01 11 11 10 10 AB 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 f (4,5,6,7) A B   f (3,7,11,15) C D   f (0,3,5,6,9,10,12,15)  f (1,2,4,7,8,11,13,14)  f A B C D    f A B C D    f (1,3,5,7,9,11,13,15)  f (0,2,4,6,8,10,12,14)  f (4,5,6,7,12,13,14,15)  f (0,1,2,3,8,9,10,11)  f D f D f B f B
  • 25. Determining the Minimum SOP Expression from the Map CD AB 00 01 11 10 00 1 1 01 1 1 1 1 11 1 1 1 1 10 1 B CA DCA DCACAB 
  • 26. Determining the Minimum SOP Expression from the Map CBABCAB  C AB 0 1 00 1 01 1 11 1 1 10 C AB 0 1 00 1 1 01 1 11 1 10 1 1 ACCAB 
  • 27. Mapping Directly from a Truth Table I/P O/P A B C X 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 1 1 1 1 1 C AB 0 1 00 01 11 10 1 1 1 1
  • 28. Don’t Care Conditions • A don’t care condition, marked by (X) in the truth table, indicates a condition where the design doesn’t care if the output is a (0) or a (1). • A don’t care condition can be treated as a (0) or a (1) in a K-Map. • Treating a don’t care as a (0) means that you do not need to group it. • Treating a don’t care as a (1) allows you to make a grouping larger, resulting in a simpler term in the SOP equation.
  • 29. Some You Group, Some You Don’t V X 0 1 0 0 0 X 0 C C BA BA BA BA CA This don’t care condition was treated as a (1). This allowed the grouping of a single one to become a grouping of two, resulting in a simpler term. There was no advantage in treating this don’t care condition as a (1), thus it was treated as a (0) and not grouped.
  • 30. Example Solution: SRTRF 4 R S T U F4 0 0 0 0 X 0 0 0 1 0 0 0 1 0 1 0 0 1 1 X 0 1 0 0 0 0 1 0 1 X 0 1 1 0 X 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 X 1 1 0 0 X 1 1 0 1 0 1 1 1 0 0 1 1 1 1 0 V X 0 X 1 0 X 1 X X 0 0 0 1 1 X 1 SR SR SR SR UT UT UT UT TR SR
  • 31. IMPLEMENTATION OF K-MAPS - In some logic circuits, the output responses for some input conditions are don’t care whether they are 1 or 0.  In K-maps, don’t-care conditions are represented by d’s in the corresponding cells. Don’t-care conditions are useful in minimizing the logic functions using K-map. - Can be considered either 1 or 0 - Thus increases the chances of merging cells into the larger cells --> Reduce the number of variables in the product terms x y z 1 d d 1 d 1 x’ yz’ x y z F
  • 32. K-Map POS Minimization • The approaches are much the same (as SOP) except that with POS expression, 0s representing the standard sum terms are placed on the K-map instead of 1s.
  • 33. C AB 0 1 00 01 11 10 Mapping a Standard POS Expression The expression: ))()()(( CBACBACBACBA  000 010 110 101 0 0 0 0
  • 34. K-map Simplification of POS Expression ))()()()(( CBACBACBACBACBA  C AB 0 1 00 01 11 10 BA 0 0 0 0 0 AC CB  A 1 11 )( CBA  ACBA 
  • 35. IMPLEMENTATION OF K-MAPS - Sum-of- Products Form -  Logic function represented by a Karnaugh map can be implemented in the form of not-AND-OR  A cell or a collection of the adjacent 1-cells can be realized by an AND gate, with some inversion of the input variables. x y z x’ y’ z’ x’ y z’ x y z’ 1 1 1 F(x,y,z) =  (0,2,6) 1 1 1 x’ z’ y z’  x’ y x y z’ x’ y’ z’ F x z y z F not AND OR z’ 
  • 36. IMPLEMENTATION OF K-MAPS - Product-of- Sums Form -  Logic function represented by a Karnaugh map can be implemented in the form of I-OR-AND  If we implement a Karnaugh map using 0-cells, the complement of F, i.e., F’, can be obtained. Thus, by complementing F’ using DeMorgan’s theorem F can be obtained F(x,y,z) = (0,2,6) x y zx y’ z F’ = xy’ + z F = (xy’)z’ = (x’ + y)z’ x y z F I OR AND 0 01 1 0 0 0 1
  • 37. Design of combinational digital circuits • Steps to design a combinational digital circuit: – From the problem statement derive the truth table – From the truth table derive the unsimplified logic expression – Simplify the logic expression – From the simplified expression draw the logic circuit
  • 38. Example: Design a 3-input (A,B,C) digital circuit that will give at its output (X) a logic 1 only if the binary number formed at the input has more ones than zeros. BCABACX  A B C 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 X 0 0 0 1 0 1 1 1 Inputs Output 0 1 2 3 4 5 6 7 BC 00 0 01 1 11 10A 0 0 1 0 0 1 1 1 A B C X  7)6,5,(3,X
  • 39. CBABACAX  A B C X  ,7,8,9)(2,3,4,5,6XA B C 0 0 0 0 0 1 X 0 0 Inputs Output 0 1 D 0 0 0 0 0 12 1 0 0 1 13 1 0 1 0 14 0 0 1 1 15 0 0 1 0 16 1 0 1 1 17 1 1 0 0 18 0 1 0 1 19 0 1 0 0 010 1 1 0 1 011 1 1 1 0 012 0 1 1 1 013 0 1 1 0 014 1 1 1 1 015 1 D CD 00 00 01 01 11 11 10 10 AB 0 0 1 1 1 1 1 1 0 0 0 0 1 1 0 0 X Same Example: Design a 4-input (A,B,C,D) digital circuit that will give at its output (X) a logic 1 only if the binary number formed at the input is between 2 and 9 (including).