SlideShare a Scribd company logo
International Journal of Engineering Research and Development 
e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com 
Volume 10, Issue 10 (October 2014), PP.70-74 
Testing and Relocating of Memory with Address 
Programmability 
Kosuru.Maruthi1, Tadi.Venkateswara Reddy, 2 C.R.S.Hanuman3 
1(M.Tech Student in VLSI & Embedded Systems, S.I.T.E, Tadepalligudem, India) 
2(Assistant Professor , ECE Department, S.I.T.E, Tadepalligudem, India ) 
3(Associate Professor, ECE Department, S.I.T.E, Tadepalligudem, India) 
Abstract:- Most of the System-on-Chip (SoC)‟s area is covered by embedded memories. As these memories 
are very tightly integrated, consists majority of defects in SoC. Detection of such a complex and diverse faults 
during fabrication is not possible. Hence leads to failure of soc in field. Usage of test algorithms may increase 
the coverage of complex faults, but unexpected failures can‟t be covered by these algorithm. Providing 
possibility of choosing testing algorithms before using in SoC is very important. Programmable BIST 
approaches, allowing selecting after fabrication a large variety of memory tests, are therefore desirable, but 
may lead on unacceptable area cost. BIST approaches enabling test algorithm programmability and data 
background programmability at low area cost have been presented in the past. However, no proposals exist for 
programming the address sequence used by the test algorithm. In this paper, we extend programmable BIST to 
complete programmability. This new feature is implemented at low cost by using the memory under test itself 
to store the desired address sequence and some compact circuitry that enables using this sequence for testing the 
memory. 
Keywords:- Built In Self Test (BIST), Memory BIST (MBIST), Memory test algorithms, System-on-Chip 
(SoC). 
I. INTRODUCTION 
Nowadays, the area occupied by embedded memories in System-on-Chip (SoC) is about 90%, and 
expected to rise up to 94% by 2015. As those memories are very tightly integrated with large number of 
transistors causes 90% of overall faults in system on chips Thus, they concentrate the large majority of defects. 
In addition, with aggressive nanometer scaling, defect types are becoming more complex and diverse and may 
escape detection during fabrication test. If they are not treated adequately, the above trends will increase defect 
level, affect circuit quality dramatically and impact reliability, as undetected fabrication faults will be 
manifested as field failures. To cope with, the ability to guaranty a high quality test should be integrated in 
memory BIST, which is the mainstream test technology for embedded memories. Memory BIST generators can 
integrate a limited set of test algorithms [1],[2],[3]. Thus, only the test algorithms selected during the design 
phase can be used after fabrication. However, fixing the memory test algorithms during the design phase is not a 
good strategy as unexpected failures may be discovered after production. Also, integrating pre-emotively a large 
number of test algorithms in the BIST generator will result in large area cost. Thus, programmable memory 
BIST enabling selecting the memory, test stimuli in silicon and testing the memory for a wide variety of faults is 
becoming mandatory. This flexibility has to be achieved at low area cost, to make the approach attractive for 
real products. Also, the flexibility offered by programmable BIST is highly important for thorough screening 
inspection, failure analysis of customer returns, debug of a new fabrication process or a new memory design, 
and production ramp-up, since the most challenging issue in these processes is to detect and/or diagnose 
unexpected failures. 
There are three memory test stimuli components 1) The test algorithm determining the operations 
performed in each memory cell and the instances they performed .2) The data used in these operations .3) The 
sequence in which the memory addresses are visited by the test algorithm. Previous work comprises 
programmable BIST enabling test algorithm programmability [4],[8] data programmability [7],[9], but no 
previous work exist concerning address sequence programmability. In the present paper we extend 
programmable BIST to incorporated address sequence programmability in addition to test algorithm 
programmability and test data programmability. Thus, all the components of memory test stimuli could be 
programmed in silicon, enabling testing unexpected failures during fabrication go/no go test, as well as 
comprehensive testing and diagnosis during failure analysis of customer returns; debug of new fabrication 
process or new memory design; and production ramp-up. The main challenge when implementing complete 
70
Testing and Relocating of Memory with Address Programmability 
programmability of the address sequence used concerns the large amount of data that have to be programmed 
(here the complete memory address space) and the associated high hardware cost. We resolve this problem by 
adapting the transparent BIST scheme [10],[11] in a way enabling storing the address sequence in the memory 
under test and using it for testing the memory. 
II. FAULT MODELS, TEST ALGORITHMS 
A fault model is a systematic and precise representation of physical faults in a form suitable for 
simulation and test generation [11]. Applying the reduced functional model, SRAM faults can be classified as 
follows: 1. Address Fault (AF), 2. Address Decoder Open Faults (ADOF), 3. Coupling Faults (CF), 3a. Inversion 
Coupling Faults (CFin), 3b. Idempotent Coupling Faults (CFid), 3c. State Coupling Faults (CFst), 4. Bridge 
Coupling Faults (BF) 5. Data Retention Faults (DRF) 6. Stuck-at Faults (SAF), 7. Stuck Open Faults (SOF), 8. 
Transition Faults (TF) 
An efficient memory test should provide the best fault coverage in shortest time [13].March tests have the 
advantage of shortest test time with good fault coverage. There are many March tests such as March C, March C-, 
March C+, March 3 and so on. Table .1 compares the test length, complexity and fault coverage of them. „n‟ stands for 
the capacity of memory. 
Algorithms Test length Complexity Fault coverage 
March C- 10n O(n) AF, SAF, SOF,CF 
March SS 22n O(n) AF, SAF, SOF, CF 
M0M1 4n O(n) SAF, SOF 
March LR with BDS 23n O(n) AF, SAF, SOF, CF 
Table: 1 Comparison of different March tests 
As shown in TABLE 1, March C- has better fault coverage than March C and shorter test time than 
March C and March C+. So March C- has been chosen as BIST algorithm in this Paper. 
III. BISR STRATEGY WITH REDUNDANCY ARCHITECTURE: 
SRAM BISR strategy is flexible. The SRAM users can decide whether to use it by setting a signal. So the 
redundancy of the SRAM is designed to be selectable. In another word, some normal words in SRAM can be 
selected as redundancy if the SRAM needs to repair itself. We call these words Normal-Redundant words to 
distinguish them from the real normal ones. 
Figure: 1 Architecture of redundancy in SRAM 
We take a 64 × 4 SRAM for example, as shown in Figure 1. There are 60 normal words and 4 
Normal-Redundant words. When the BISR is used, the Normal-Redundant words are accessed as normal ones. 
Otherwise, the Normal-Redundant words can only be accessed when there are faults in normal words. In this case, 
the SRAM can only offer capacity of 60 words to users. This should be referred in SRAM manual in details. 
This kind of selectable redundancy architecture can save area and increase efficiency. After BISR is applied, 
other modules in SRAM can remain unchanged. Thus the selectable redundancy won't bring any problem to 
SRAM compiler. 
71
Testing and Relocating of Memory with Address Programmability 
IV. PROGRAMMABLE MEMORY BIST 
Work on most of programmable memory BIST approaches concerns the programmability of the 
memory test algorithm [4],[6],[8]. The programmable memory BIST proposed in [7] has several advantages. It 
enables programming both test algorithms and test data. It implements test algorithm programmability at low 
cost, by extracting the different levels of hierarchy of the test algorithm and associating a hardware bloc to each 
of them, resulting on low cost hardware. It enables low-cost implementation of full-data Programmability by 
adapting the transparent memory test approach [10-16] in a manner that uses the memory under test for 
programming the test data. As stated in the introduction, the aim of this paper is to extent the above 
programmable BIST scheme to enable programming the address sequence, thus enabling programmability 
of all components of memory test: test algorithm; test data; address sequence. The architecture for programming 
march test algorithms proposed in [7] (and implemented and evaluated in [8]). This architecture uses an 
Instruction Register specifying the current match test sequence by means of several fields indicating: the 
number of operations (NO) performed by the current march sequence; the order Up or Down (U/D) in which 
the address counter is used; the address mode like fast-X, fast-Y, fast-D (@mode); several operation fields (O1, 
O2,  Om-1), each determining one of the operations used by the march sequence (usually read and write, but other 
operations like read-modify-write, no operation, etc are also supported if necessary); the value of the memory 
word (DATA) to be used during the march sequence (fewer bits that the actual memory word can be used and 
expended by the BIST circuitry to create the full word); one data polarity bit for each operation field (P1, P2,  
Pm-1), specifying if the corresponding operation uses the data word in its direct or complemented form; a wait bit 
(W) forcing all the operations of the march sequence to the non-operation (idle) mode, in order to implement a 
retention test; a bit (TE) set to 1 only at the last instruction of the test algorithm to indicate that the test finishes at 
the end of the current march sequence. 
Figure 2: Proposed Architecture for Programming March C- Algorithm 
Each field of the Instruction Register is interpreted by a specific block of the BIST architecture shown 
in Fig: 2. They enable a very compact implementation resulting on low-cost programmable BIST allowing 
selecting in silicon any march test algorithm at will, provided that its longest march sequence can be supported 
by the number of Operation fields and Polarity bits of the Instruction Register, selected during the design phase. 
As this size only impacts the size of the Instruction Register (linearly), the size of the Control MUX (linearly) 
and the size of the Cycles Counter (logarithmically), selecting a large number of operations will slightly affect 
the BIST area and can be done comfortably, to cover any march test algorithm of realistic length. March test 
algorithms are powerful and can be designed to test a wide range of static and dynamic memory fault models. 
V. SELF REPAIRING MECHANISM WITH BISR: 
The architecture of the proposed BISR strategy is shown in Fig:3. It consists of three parts: PBIST module, 
BIAA module and MUX module. We call the SRAM with BISR a system. The BIST module uses March C- to 
test the addresses of the normal words in SRAM. It detects SRAM failures with a comparator that compares 
actual memory data with expected data. 
72
Testing and Relocating of Memory with Address Programmability 
Figure: 3 Proposed BISR Architecture. 
If there is a failure (compare_Q = 1), the current address is considered as a faulty address. The BIAA 
module can store faulty addresses in a memory named Fault_A_Mem. There is a counter in BIAA that counts 
the number of faulty addresses. When BISR is used (bisr_h = 1), the faulty addresses can be replaced with 
redundant addresses to repair the SRAM. The inputs of SRAM in different operation modes are controlled by 
the MUX module. In test mode (bist_h=1), the inputs of SRAM are generated in BISR while they are equal to 
system inputs in access mode(bist_h= 0). 
VI. TRANSPARENT BIST APPROACH 
We present a scheme enabling programming the address sequence used by March test algorithms 
without any limitations (i.e. any address sequence can be programmed in silicon). Enabling programming at will 
the full address space would require an auxiliary memory of large capacity for storing any memory address 
sequence selected after fabrication. We observe that the number of addresses of the auxiliary memory is the 
same as the number of addresses of the memory under test. Based to this observation, we eliminate the auxiliary 
memory and instead we use the memory under test to store the desired address sequence. We store it in a manner 
that allows producing the desired address sequence during the March tests. We adopt the transparent BIST 
approach in order to preserve the stored address sequence during testing. 
VII. SIMULATION RESULT AND CONCLUSION: 
We extend previous programmable memory BIST architecture to enable programmability of all three 
components of memory test stimuli (test algorithm, data background, and address sequencing). It enables 
testing unexpected failures during fabrication Go/No Go test, as well as comprehensive test and diagnosis during 
failure analysis of customer returns; debug of new fabrication process or new memory design; and production 
ramp-up. 
Figure: 4 simulated output of proposed BIA 
73
Testing and Relocating of Memory with Address Programmability 
As these benefits are obtained at low area cost, the proposed memory BIST becomes highly attractive 
not only for test chips dedicated to new memory and/or process debug but also for integrating it into final 
products. The proposed BIA (built in analyzer) was simulated with ModelSim PE student version using Verilog 
is shown in Fig:4. 
REFERENCES 
[1]. Semiconductor Industry Association, “International technology roadmap for semiconductors (ITRS), 
74 
2003 edition,” Hsinchu, Taiwan, Dec.2003. 
[2]. C. Stapper, A. Mclaren, and M. Dreckman, “Yield model for Productivity Optimization of VLSI 
Memory Chips with redundancy and Partially good Product,” IBM Journal of Research and 
Development, Vol. 24, No. 3, pp. 398-409, May 1980. 
[3]. W. K. Huang, Y. H. shen, and F. lombrardi, “New approaches for repairs of memories with redundancy 
by row/column deletion for yield enhancement,” IEEE Transactions on Computer-Aided Design, vol. 
9, No. 3, pp. 323-328, Mar. 1990. 
[4]. P. Mazumder and Y. S. Jih, “A new built-in self-repair approach to VLSI memory yield enhancement 
by using neuraltype circuits,” IEEE transactions on Computer Aided Design, vol. 12, No. 1, Jan, 1993. 
[5]. H. C. Kim, D. S. Yi, J. Y. Park, and C. H. Cho, “A BISR (built-in self repair) circuit for embedded 
memory with multiple redundancies,” VLSI and CAD 6th International Conference, pp. 602-605, Oct. 
1999. 
[6]. Shyue-Kung Lu, Chun-Lin Yang, and Han-Wen Lin, “Efficient BISR Techniques for Word-Oriented 
Embedded Memories with Hierarchical Redundancy,” IEEE ICIS-COMSAR, pp. 355-360, 2006. 
[7]. C. Stroud, A Designer‟s Guide to Built-In Self-Test, Kluwer Academic Publishers, 2002. 
[8]. Karunaratne. M and Oomann. B, “Yield gain with memory BISR-a case study,” IEEE MWSCAS, pp. 
699-702, 2009. 
[9]. I. Kang, W. Jeong, and S. Kang, “ High-efficiency memory BISR with two serial RA stages using 
spare memories,” IET Electron. Lett., vol. 44,no. 8, pp. 515-517, Apr. 2008. 
[10]. Heon-cheol Kim, Dong-soon Yi, Jin-young Park, and Chang-hyun Cho, “A BISR (Built-In Self- 
Repair) circuit for embedded memory with multiple redundancies,” in Proc. Int. Conf. VLSI CAD, Oct. 
1999, pp. 602-605. 
[11]. M. Sachdev, V. Zieren, and P. Janssen, “Defect detection with transient current testing and its potential 
for deep submicron CMOS ICs,” IEEE International Test Conference, pp.204-213, Oct. 1998. 
[12]. Mentor Graohics, MBIST Architect Process Guide, Software Version 8.2009_3, Aug 2009, pp. 113- 
116. 
[13]. Pavlov. Andrei and Sachdev. Manoj, CMOS SRAM Circuit Design and Parametric Test in Nano- 
Scaled Technologies, CA: Springer, 2008, pp.85-86.

More Related Content

Viewers also liked

20141224 -「助產人員法」部分條文修正草案
20141224 -「助產人員法」部分條文修正草案20141224 -「助產人員法」部分條文修正草案
20141224 -「助產人員法」部分條文修正草案
R.O.C.Executive Yuan
 
Проект ИТ-парка "Комета"
Проект ИТ-парка "Комета"Проект ИТ-парка "Комета"
Проект ИТ-парка "Комета"
Денис Ефремов
 
Bahaya budaya natal bersama
Bahaya budaya natal bersamaBahaya budaya natal bersama
Bahaya budaya natal bersamaHavid Indra guna
 
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...Dimo Angelov
 
Sales Readiness Cert
Sales Readiness CertSales Readiness Cert
Sales Readiness CertKelvin Tan
 
Referentie Praktijkmanager Medisch Centrum Sint Anna
Referentie Praktijkmanager Medisch Centrum Sint AnnaReferentie Praktijkmanager Medisch Centrum Sint Anna
Referentie Praktijkmanager Medisch Centrum Sint AnnaMarie-Antoinette Westra
 
הרצאות בהנחיית רות וייס1
הרצאות בהנחיית רות וייס1הרצאות בהנחיית רות וייס1
הרצאות בהנחיית רות וייס1Ruth Wies
 

Viewers also liked (7)

20141224 -「助產人員法」部分條文修正草案
20141224 -「助產人員法」部分條文修正草案20141224 -「助產人員法」部分條文修正草案
20141224 -「助產人員法」部分條文修正草案
 
Проект ИТ-парка "Комета"
Проект ИТ-парка "Комета"Проект ИТ-парка "Комета"
Проект ИТ-парка "Комета"
 
Bahaya budaya natal bersama
Bahaya budaya natal bersamaBahaya budaya natal bersama
Bahaya budaya natal bersama
 
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...
IN VITRO EVALUATION OF CYTOTOXIC ACTIVITY OF HYDRAZIDEHYDRAZONES BASED ON 4-C...
 
Sales Readiness Cert
Sales Readiness CertSales Readiness Cert
Sales Readiness Cert
 
Referentie Praktijkmanager Medisch Centrum Sint Anna
Referentie Praktijkmanager Medisch Centrum Sint AnnaReferentie Praktijkmanager Medisch Centrum Sint Anna
Referentie Praktijkmanager Medisch Centrum Sint Anna
 
הרצאות בהנחיית רות וייס1
הרצאות בהנחיית רות וייס1הרצאות בהנחיית רות וייס1
הרצאות בהנחיית רות וייס1
 

Similar to International Journal of Engineering Research and Development

Robust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory InterfaceRobust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory Interface
IOSRJVSP
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd Iaetsd
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET Journal
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
IJMTST Journal
 
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Editor IJCATR
 
Iaetsd march c algorithm for embedded memories in fpga
Iaetsd march c algorithm for embedded memories in fpgaIaetsd march c algorithm for embedded memories in fpga
Iaetsd march c algorithm for embedded memories in fpga
Iaetsd Iaetsd
 
Automatic generation of user-defined test algorithm description file for mem...
Automatic generation of user-defined test algorithm description  file for mem...Automatic generation of user-defined test algorithm description  file for mem...
Automatic generation of user-defined test algorithm description file for mem...
International Journal of Reconfigurable and Embedded Systems
 
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONSMODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
VLSICS Design
 
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEYIMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IAEME Publication
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
IJMERJOURNAL
 
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
IRJET Journal
 
Memory built-in self-repair and correction for improving yield: a review
Memory built-in self-repair and correction for improving yield: a reviewMemory built-in self-repair and correction for improving yield: a review
Memory built-in self-repair and correction for improving yield: a review
IJECEIAES
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
IAEME Publication
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
IAEME Publication
 
A Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded MemoriesA Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded Memories
IJSRD
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
ijesajournal
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
ijesajournal
 
Improved Reliability Memory’s Module Structure for Critical Application Systems
Improved Reliability Memory’s Module Structure for Critical Application Systems Improved Reliability Memory’s Module Structure for Critical Application Systems
Improved Reliability Memory’s Module Structure for Critical Application Systems
IJERA Editor
 

Similar to International Journal of Engineering Research and Development (20)

Robust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory InterfaceRobust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory Interface
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embedded
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
 
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
 
Iaetsd march c algorithm for embedded memories in fpga
Iaetsd march c algorithm for embedded memories in fpgaIaetsd march c algorithm for embedded memories in fpga
Iaetsd march c algorithm for embedded memories in fpga
 
Automatic generation of user-defined test algorithm description file for mem...
Automatic generation of user-defined test algorithm description  file for mem...Automatic generation of user-defined test algorithm description  file for mem...
Automatic generation of user-defined test algorithm description file for mem...
 
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONSMODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
MODIFIED MARCH C- WITH CONCURRENCY IN TESTING FOR EMBEDDED MEMORY APPLICATIONS
 
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEYIMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
IMPLEMENTATION AND VALIDATION OF MEMORY BUILT IN SELF TEST (MBIST) - SURVEY
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
 
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
 
40120130405015 2
40120130405015 240120130405015 2
40120130405015 2
 
J1067783
J1067783J1067783
J1067783
 
Memory built-in self-repair and correction for improving yield: a review
Memory built-in self-repair and correction for improving yield: a reviewMemory built-in self-repair and correction for improving yield: a review
Memory built-in self-repair and correction for improving yield: a review
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
A Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded MemoriesA Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded Memories
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...Dominant block guided optimal cache size estimation to maximize ipc of embedd...
Dominant block guided optimal cache size estimation to maximize ipc of embedd...
 
Improved Reliability Memory’s Module Structure for Critical Application Systems
Improved Reliability Memory’s Module Structure for Critical Application Systems Improved Reliability Memory’s Module Structure for Critical Application Systems
Improved Reliability Memory’s Module Structure for Critical Application Systems
 

More from IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
IJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
IJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
IJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
IJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
IJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
IJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
IJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
IJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
IJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
IJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
IJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
IJERD Editor
 

More from IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Recently uploaded

Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
OnBoard
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
Alan Dix
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
Abida Shariff
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Product School
 
PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)
Ralf Eggert
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Jeffrey Haguewood
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
91mobiles
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
DianaGray10
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Ramesh Iyer
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
UiPathCommunity
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
ThousandEyes
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
Product School
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
Guy Korland
 

Recently uploaded (20)

Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 
PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
 

International Journal of Engineering Research and Development

  • 1. International Journal of Engineering Research and Development e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com Volume 10, Issue 10 (October 2014), PP.70-74 Testing and Relocating of Memory with Address Programmability Kosuru.Maruthi1, Tadi.Venkateswara Reddy, 2 C.R.S.Hanuman3 1(M.Tech Student in VLSI & Embedded Systems, S.I.T.E, Tadepalligudem, India) 2(Assistant Professor , ECE Department, S.I.T.E, Tadepalligudem, India ) 3(Associate Professor, ECE Department, S.I.T.E, Tadepalligudem, India) Abstract:- Most of the System-on-Chip (SoC)‟s area is covered by embedded memories. As these memories are very tightly integrated, consists majority of defects in SoC. Detection of such a complex and diverse faults during fabrication is not possible. Hence leads to failure of soc in field. Usage of test algorithms may increase the coverage of complex faults, but unexpected failures can‟t be covered by these algorithm. Providing possibility of choosing testing algorithms before using in SoC is very important. Programmable BIST approaches, allowing selecting after fabrication a large variety of memory tests, are therefore desirable, but may lead on unacceptable area cost. BIST approaches enabling test algorithm programmability and data background programmability at low area cost have been presented in the past. However, no proposals exist for programming the address sequence used by the test algorithm. In this paper, we extend programmable BIST to complete programmability. This new feature is implemented at low cost by using the memory under test itself to store the desired address sequence and some compact circuitry that enables using this sequence for testing the memory. Keywords:- Built In Self Test (BIST), Memory BIST (MBIST), Memory test algorithms, System-on-Chip (SoC). I. INTRODUCTION Nowadays, the area occupied by embedded memories in System-on-Chip (SoC) is about 90%, and expected to rise up to 94% by 2015. As those memories are very tightly integrated with large number of transistors causes 90% of overall faults in system on chips Thus, they concentrate the large majority of defects. In addition, with aggressive nanometer scaling, defect types are becoming more complex and diverse and may escape detection during fabrication test. If they are not treated adequately, the above trends will increase defect level, affect circuit quality dramatically and impact reliability, as undetected fabrication faults will be manifested as field failures. To cope with, the ability to guaranty a high quality test should be integrated in memory BIST, which is the mainstream test technology for embedded memories. Memory BIST generators can integrate a limited set of test algorithms [1],[2],[3]. Thus, only the test algorithms selected during the design phase can be used after fabrication. However, fixing the memory test algorithms during the design phase is not a good strategy as unexpected failures may be discovered after production. Also, integrating pre-emotively a large number of test algorithms in the BIST generator will result in large area cost. Thus, programmable memory BIST enabling selecting the memory, test stimuli in silicon and testing the memory for a wide variety of faults is becoming mandatory. This flexibility has to be achieved at low area cost, to make the approach attractive for real products. Also, the flexibility offered by programmable BIST is highly important for thorough screening inspection, failure analysis of customer returns, debug of a new fabrication process or a new memory design, and production ramp-up, since the most challenging issue in these processes is to detect and/or diagnose unexpected failures. There are three memory test stimuli components 1) The test algorithm determining the operations performed in each memory cell and the instances they performed .2) The data used in these operations .3) The sequence in which the memory addresses are visited by the test algorithm. Previous work comprises programmable BIST enabling test algorithm programmability [4],[8] data programmability [7],[9], but no previous work exist concerning address sequence programmability. In the present paper we extend programmable BIST to incorporated address sequence programmability in addition to test algorithm programmability and test data programmability. Thus, all the components of memory test stimuli could be programmed in silicon, enabling testing unexpected failures during fabrication go/no go test, as well as comprehensive testing and diagnosis during failure analysis of customer returns; debug of new fabrication process or new memory design; and production ramp-up. The main challenge when implementing complete 70
  • 2. Testing and Relocating of Memory with Address Programmability programmability of the address sequence used concerns the large amount of data that have to be programmed (here the complete memory address space) and the associated high hardware cost. We resolve this problem by adapting the transparent BIST scheme [10],[11] in a way enabling storing the address sequence in the memory under test and using it for testing the memory. II. FAULT MODELS, TEST ALGORITHMS A fault model is a systematic and precise representation of physical faults in a form suitable for simulation and test generation [11]. Applying the reduced functional model, SRAM faults can be classified as follows: 1. Address Fault (AF), 2. Address Decoder Open Faults (ADOF), 3. Coupling Faults (CF), 3a. Inversion Coupling Faults (CFin), 3b. Idempotent Coupling Faults (CFid), 3c. State Coupling Faults (CFst), 4. Bridge Coupling Faults (BF) 5. Data Retention Faults (DRF) 6. Stuck-at Faults (SAF), 7. Stuck Open Faults (SOF), 8. Transition Faults (TF) An efficient memory test should provide the best fault coverage in shortest time [13].March tests have the advantage of shortest test time with good fault coverage. There are many March tests such as March C, March C-, March C+, March 3 and so on. Table .1 compares the test length, complexity and fault coverage of them. „n‟ stands for the capacity of memory. Algorithms Test length Complexity Fault coverage March C- 10n O(n) AF, SAF, SOF,CF March SS 22n O(n) AF, SAF, SOF, CF M0M1 4n O(n) SAF, SOF March LR with BDS 23n O(n) AF, SAF, SOF, CF Table: 1 Comparison of different March tests As shown in TABLE 1, March C- has better fault coverage than March C and shorter test time than March C and March C+. So March C- has been chosen as BIST algorithm in this Paper. III. BISR STRATEGY WITH REDUNDANCY ARCHITECTURE: SRAM BISR strategy is flexible. The SRAM users can decide whether to use it by setting a signal. So the redundancy of the SRAM is designed to be selectable. In another word, some normal words in SRAM can be selected as redundancy if the SRAM needs to repair itself. We call these words Normal-Redundant words to distinguish them from the real normal ones. Figure: 1 Architecture of redundancy in SRAM We take a 64 × 4 SRAM for example, as shown in Figure 1. There are 60 normal words and 4 Normal-Redundant words. When the BISR is used, the Normal-Redundant words are accessed as normal ones. Otherwise, the Normal-Redundant words can only be accessed when there are faults in normal words. In this case, the SRAM can only offer capacity of 60 words to users. This should be referred in SRAM manual in details. This kind of selectable redundancy architecture can save area and increase efficiency. After BISR is applied, other modules in SRAM can remain unchanged. Thus the selectable redundancy won't bring any problem to SRAM compiler. 71
  • 3. Testing and Relocating of Memory with Address Programmability IV. PROGRAMMABLE MEMORY BIST Work on most of programmable memory BIST approaches concerns the programmability of the memory test algorithm [4],[6],[8]. The programmable memory BIST proposed in [7] has several advantages. It enables programming both test algorithms and test data. It implements test algorithm programmability at low cost, by extracting the different levels of hierarchy of the test algorithm and associating a hardware bloc to each of them, resulting on low cost hardware. It enables low-cost implementation of full-data Programmability by adapting the transparent memory test approach [10-16] in a manner that uses the memory under test for programming the test data. As stated in the introduction, the aim of this paper is to extent the above programmable BIST scheme to enable programming the address sequence, thus enabling programmability of all components of memory test: test algorithm; test data; address sequence. The architecture for programming march test algorithms proposed in [7] (and implemented and evaluated in [8]). This architecture uses an Instruction Register specifying the current match test sequence by means of several fields indicating: the number of operations (NO) performed by the current march sequence; the order Up or Down (U/D) in which the address counter is used; the address mode like fast-X, fast-Y, fast-D (@mode); several operation fields (O1, O2,  Om-1), each determining one of the operations used by the march sequence (usually read and write, but other operations like read-modify-write, no operation, etc are also supported if necessary); the value of the memory word (DATA) to be used during the march sequence (fewer bits that the actual memory word can be used and expended by the BIST circuitry to create the full word); one data polarity bit for each operation field (P1, P2,  Pm-1), specifying if the corresponding operation uses the data word in its direct or complemented form; a wait bit (W) forcing all the operations of the march sequence to the non-operation (idle) mode, in order to implement a retention test; a bit (TE) set to 1 only at the last instruction of the test algorithm to indicate that the test finishes at the end of the current march sequence. Figure 2: Proposed Architecture for Programming March C- Algorithm Each field of the Instruction Register is interpreted by a specific block of the BIST architecture shown in Fig: 2. They enable a very compact implementation resulting on low-cost programmable BIST allowing selecting in silicon any march test algorithm at will, provided that its longest march sequence can be supported by the number of Operation fields and Polarity bits of the Instruction Register, selected during the design phase. As this size only impacts the size of the Instruction Register (linearly), the size of the Control MUX (linearly) and the size of the Cycles Counter (logarithmically), selecting a large number of operations will slightly affect the BIST area and can be done comfortably, to cover any march test algorithm of realistic length. March test algorithms are powerful and can be designed to test a wide range of static and dynamic memory fault models. V. SELF REPAIRING MECHANISM WITH BISR: The architecture of the proposed BISR strategy is shown in Fig:3. It consists of three parts: PBIST module, BIAA module and MUX module. We call the SRAM with BISR a system. The BIST module uses March C- to test the addresses of the normal words in SRAM. It detects SRAM failures with a comparator that compares actual memory data with expected data. 72
  • 4. Testing and Relocating of Memory with Address Programmability Figure: 3 Proposed BISR Architecture. If there is a failure (compare_Q = 1), the current address is considered as a faulty address. The BIAA module can store faulty addresses in a memory named Fault_A_Mem. There is a counter in BIAA that counts the number of faulty addresses. When BISR is used (bisr_h = 1), the faulty addresses can be replaced with redundant addresses to repair the SRAM. The inputs of SRAM in different operation modes are controlled by the MUX module. In test mode (bist_h=1), the inputs of SRAM are generated in BISR while they are equal to system inputs in access mode(bist_h= 0). VI. TRANSPARENT BIST APPROACH We present a scheme enabling programming the address sequence used by March test algorithms without any limitations (i.e. any address sequence can be programmed in silicon). Enabling programming at will the full address space would require an auxiliary memory of large capacity for storing any memory address sequence selected after fabrication. We observe that the number of addresses of the auxiliary memory is the same as the number of addresses of the memory under test. Based to this observation, we eliminate the auxiliary memory and instead we use the memory under test to store the desired address sequence. We store it in a manner that allows producing the desired address sequence during the March tests. We adopt the transparent BIST approach in order to preserve the stored address sequence during testing. VII. SIMULATION RESULT AND CONCLUSION: We extend previous programmable memory BIST architecture to enable programmability of all three components of memory test stimuli (test algorithm, data background, and address sequencing). It enables testing unexpected failures during fabrication Go/No Go test, as well as comprehensive test and diagnosis during failure analysis of customer returns; debug of new fabrication process or new memory design; and production ramp-up. Figure: 4 simulated output of proposed BIA 73
  • 5. Testing and Relocating of Memory with Address Programmability As these benefits are obtained at low area cost, the proposed memory BIST becomes highly attractive not only for test chips dedicated to new memory and/or process debug but also for integrating it into final products. The proposed BIA (built in analyzer) was simulated with ModelSim PE student version using Verilog is shown in Fig:4. REFERENCES [1]. Semiconductor Industry Association, “International technology roadmap for semiconductors (ITRS), 74 2003 edition,” Hsinchu, Taiwan, Dec.2003. [2]. C. Stapper, A. Mclaren, and M. Dreckman, “Yield model for Productivity Optimization of VLSI Memory Chips with redundancy and Partially good Product,” IBM Journal of Research and Development, Vol. 24, No. 3, pp. 398-409, May 1980. [3]. W. K. Huang, Y. H. shen, and F. lombrardi, “New approaches for repairs of memories with redundancy by row/column deletion for yield enhancement,” IEEE Transactions on Computer-Aided Design, vol. 9, No. 3, pp. 323-328, Mar. 1990. [4]. P. Mazumder and Y. S. Jih, “A new built-in self-repair approach to VLSI memory yield enhancement by using neuraltype circuits,” IEEE transactions on Computer Aided Design, vol. 12, No. 1, Jan, 1993. [5]. H. C. Kim, D. S. Yi, J. Y. Park, and C. H. Cho, “A BISR (built-in self repair) circuit for embedded memory with multiple redundancies,” VLSI and CAD 6th International Conference, pp. 602-605, Oct. 1999. [6]. Shyue-Kung Lu, Chun-Lin Yang, and Han-Wen Lin, “Efficient BISR Techniques for Word-Oriented Embedded Memories with Hierarchical Redundancy,” IEEE ICIS-COMSAR, pp. 355-360, 2006. [7]. C. Stroud, A Designer‟s Guide to Built-In Self-Test, Kluwer Academic Publishers, 2002. [8]. Karunaratne. M and Oomann. B, “Yield gain with memory BISR-a case study,” IEEE MWSCAS, pp. 699-702, 2009. [9]. I. Kang, W. Jeong, and S. Kang, “ High-efficiency memory BISR with two serial RA stages using spare memories,” IET Electron. Lett., vol. 44,no. 8, pp. 515-517, Apr. 2008. [10]. Heon-cheol Kim, Dong-soon Yi, Jin-young Park, and Chang-hyun Cho, “A BISR (Built-In Self- Repair) circuit for embedded memory with multiple redundancies,” in Proc. Int. Conf. VLSI CAD, Oct. 1999, pp. 602-605. [11]. M. Sachdev, V. Zieren, and P. Janssen, “Defect detection with transient current testing and its potential for deep submicron CMOS ICs,” IEEE International Test Conference, pp.204-213, Oct. 1998. [12]. Mentor Graohics, MBIST Architect Process Guide, Software Version 8.2009_3, Aug 2009, pp. 113- 116. [13]. Pavlov. Andrei and Sachdev. Manoj, CMOS SRAM Circuit Design and Parametric Test in Nano- Scaled Technologies, CA: Springer, 2008, pp.85-86.