This document describes the fabrication and characterization of vertically stacked silicon nanowire field effect transistors for biosensing applications. A process using BOSCH etching and sacrificial oxidation is developed to create arrays of vertically stacked silicon nanowires with diameters less than 40 nm, lengths over 1 micron, and densities up to 10 nanowires per micron. The nanowires are electrically characterized in dry and liquid conditions, showing good electrostatic control in liquid with subthreshold swings of 100 mV/decade and on-currents over 2 mA/micron. The vertically stacked nanowire design and fabrication process aim to increase the sensitivity of field effect transistor biosensors.
This document summarizes the state-of-the-art in EUV resist platforms for patterning at the single digit nanometer resolution required for mass production. It evaluates positive tone organic chemically amplified resists (CARs), negative tone Sn-based resists, and negative tone chemically amplified molecular resists. Resists were tested on an EUV interference lithography beamline capable of resolving down to 7nm. CARs demonstrated patterning of 16nm and 14nm half-pitches with some showing resolution down to 13nm. The molecular resists xMT-0614 and xMT-0801 resolved 16nm and had potential for sub-14nm patterning. The Sn-based resist
The document evaluates the performance of several chemically amplified resists for EUV lithography using interference lithography down to 11 nm half-pitch resolutions. Resists R1UL1 and R15UL1 demonstrated the ability to resolve patterns down to 16 nm half-pitch with exposure latitude greater than 20% and sensitivity around 35 mJ/cm2, meeting requirements for high volume manufacturing. R1UL1 in particular provided versatile patterning from 16-22 nm half-pitch with low line edge roughness. Evaluations also showed 11 nm half-pitch patterning is possible with some resists, though collapsing patterns remain a challenge at these small resolutions.
The document discusses progress in extreme ultraviolet lithography (EUVL) for semiconductor fabrication. It covers:
1) EUVL is a promising next-generation lithography technique needed to continue transistor scaling below 7nm, but faces challenges like resolution, line width roughness, and sensitivity.
2) Novel resist materials without chemical amplification are being developed to overcome issues with chemically amplified resists at small scales. Examples discussed are sulfonium-containing polymers that change solubility upon EUV exposure.
3) Experiments show these new resists can resolve lines as small as 16nm and complex nanostructures when exposed with EUV lithography. Continued improvements aim to enhance sensitivity.
This document discusses optical lithography and the challenges of achieving high resolution for integrated circuit fabrication. It covers the lithography process, the role of lithography in IC fabrication, and resolution challenges like diffraction. It then describes several lithography methods used today or under development to improve resolution, including proximity lithography, contact lithography, projection lithography, phase-shifting masks, immersion lithography, and extreme ultraviolet lithography (EUVL). The document focuses on EUVL and the associated challenges of mask design and multilayer optics required for EUV wavelengths. It concludes with a section on simulating an EUV lithography system.
Encased Cantilevers for Low-Noise Mass and Force Sensing in LiquidsDominik Ziegler
This document describes the development and use of encased cantilevers for force and mass sensing in liquids. It summarizes that:
1) Encased cantilevers overcome viscous damping in liquids, allowing for ultra-low force noise detection down to 12 fN/√Hz and gentle high-resolution imaging of soft samples like lipid bilayers.
2) They can also function as quantitative mass sensors, detecting masses as small as 0.1 fg/√Hz by measuring changes in resonance frequency from added mass.
3) Interferometric readout of the cantilevers allows for position detection noise of only 6 fm/√Hz, enabling new applications in liquid environments.
This document discusses laser processes that can be used to improve bifacial solar cells. It describes how selective laser doping can be used to dope emitter regions and bulk silicon to improve contacts and reduce shadowing on both sides of the solar cell. Laser transferred contacts are also discussed as a way to create fine line metallization patterns with reduced shadowing and contact resistance. Experimental results show that these laser processes can increase cell efficiency compared to standard diffusion processes. The laser techniques allow high selectivity and resolution and are well-suited for bifacial solar cell fabrication.
The document summarizes research on creating stretchable and transparent electronics using silver nanowires (AgNWs). It finds that depositing AgNWs on a glass substrate and then transferring to a flexible substrate produces better results for stretchable electronics than drop casting. Films of 60 nm diameter AgNWs showed the best electrical properties including conductivity and stability when stretched. Transparency levels comparable to indium tin oxide were achieved with AgNW films of varying thickness, making AgNWs a cheaper alternative for transparent conductive coatings in flexible electronics.
Electrostatic Self Assembled Films For Photonics Ph D DefensePatrick Neyman
Electrostatic Self-Assembled (ESA) films. Structural and morphological properties, and how to control them for use in optical and nonlinear optical applications.
-by Patrick Neyman, PhD
This document summarizes the state-of-the-art in EUV resist platforms for patterning at the single digit nanometer resolution required for mass production. It evaluates positive tone organic chemically amplified resists (CARs), negative tone Sn-based resists, and negative tone chemically amplified molecular resists. Resists were tested on an EUV interference lithography beamline capable of resolving down to 7nm. CARs demonstrated patterning of 16nm and 14nm half-pitches with some showing resolution down to 13nm. The molecular resists xMT-0614 and xMT-0801 resolved 16nm and had potential for sub-14nm patterning. The Sn-based resist
The document evaluates the performance of several chemically amplified resists for EUV lithography using interference lithography down to 11 nm half-pitch resolutions. Resists R1UL1 and R15UL1 demonstrated the ability to resolve patterns down to 16 nm half-pitch with exposure latitude greater than 20% and sensitivity around 35 mJ/cm2, meeting requirements for high volume manufacturing. R1UL1 in particular provided versatile patterning from 16-22 nm half-pitch with low line edge roughness. Evaluations also showed 11 nm half-pitch patterning is possible with some resists, though collapsing patterns remain a challenge at these small resolutions.
The document discusses progress in extreme ultraviolet lithography (EUVL) for semiconductor fabrication. It covers:
1) EUVL is a promising next-generation lithography technique needed to continue transistor scaling below 7nm, but faces challenges like resolution, line width roughness, and sensitivity.
2) Novel resist materials without chemical amplification are being developed to overcome issues with chemically amplified resists at small scales. Examples discussed are sulfonium-containing polymers that change solubility upon EUV exposure.
3) Experiments show these new resists can resolve lines as small as 16nm and complex nanostructures when exposed with EUV lithography. Continued improvements aim to enhance sensitivity.
This document discusses optical lithography and the challenges of achieving high resolution for integrated circuit fabrication. It covers the lithography process, the role of lithography in IC fabrication, and resolution challenges like diffraction. It then describes several lithography methods used today or under development to improve resolution, including proximity lithography, contact lithography, projection lithography, phase-shifting masks, immersion lithography, and extreme ultraviolet lithography (EUVL). The document focuses on EUVL and the associated challenges of mask design and multilayer optics required for EUV wavelengths. It concludes with a section on simulating an EUV lithography system.
Encased Cantilevers for Low-Noise Mass and Force Sensing in LiquidsDominik Ziegler
This document describes the development and use of encased cantilevers for force and mass sensing in liquids. It summarizes that:
1) Encased cantilevers overcome viscous damping in liquids, allowing for ultra-low force noise detection down to 12 fN/√Hz and gentle high-resolution imaging of soft samples like lipid bilayers.
2) They can also function as quantitative mass sensors, detecting masses as small as 0.1 fg/√Hz by measuring changes in resonance frequency from added mass.
3) Interferometric readout of the cantilevers allows for position detection noise of only 6 fm/√Hz, enabling new applications in liquid environments.
This document discusses laser processes that can be used to improve bifacial solar cells. It describes how selective laser doping can be used to dope emitter regions and bulk silicon to improve contacts and reduce shadowing on both sides of the solar cell. Laser transferred contacts are also discussed as a way to create fine line metallization patterns with reduced shadowing and contact resistance. Experimental results show that these laser processes can increase cell efficiency compared to standard diffusion processes. The laser techniques allow high selectivity and resolution and are well-suited for bifacial solar cell fabrication.
The document summarizes research on creating stretchable and transparent electronics using silver nanowires (AgNWs). It finds that depositing AgNWs on a glass substrate and then transferring to a flexible substrate produces better results for stretchable electronics than drop casting. Films of 60 nm diameter AgNWs showed the best electrical properties including conductivity and stability when stretched. Transparency levels comparable to indium tin oxide were achieved with AgNW films of varying thickness, making AgNWs a cheaper alternative for transparent conductive coatings in flexible electronics.
Electrostatic Self Assembled Films For Photonics Ph D DefensePatrick Neyman
Electrostatic Self-Assembled (ESA) films. Structural and morphological properties, and how to control them for use in optical and nonlinear optical applications.
-by Patrick Neyman, PhD
This document summarizes research on developing an integrated InP chip containing a 1310/1550nm diplexer/triplexer. The chip is designed to replace bulk optics and reduce costs for fiber networks. It integrates distributed feedback lasers, photodiodes, and wavelength division multiplexing filters onto a single InP chip using a seamless photonics fabrication process. Simulation and experimental results show the chip achieves wide gain across 1310-1550nm, wavelength division multiplexing exceeding 10dB, and supports 1Gbps transmission. The fully integrated chip has potential to lower costs for fiber-to-the-home networks.
This document discusses combining MEMS and microfluidics for mass sensing applications. It begins by introducing MEMS/NEMS mass sensors that can detect added mass through shifts in resonant frequency. Various resonator designs are described that aim to maximize sensitivity through high frequency and quality factor. Experimental results demonstrating mass detection down to the femtogram level are shown. The document then discusses integrating such sensors with microfluidics, specifically digital microfluidics techniques like electrowetting-on-dielectric and liquid dielectrophoresis, to enable mass sensing in liquid environments. Applications demonstrated include DNA hybridization assays and localized surface functionalization and particle trapping using digital microfluidics.
This document discusses the use of laser cleaning for conserving artwork. It describes how lasers work through selective vaporization to remove surface corrosion and grime. Experimental cleaning was performed on bronze sculptures with corroded surfaces, including prisoners on a monument. Different laser settings were tested under a microscope and it was found that settings of 125mJ and 154mJ at 10Hz successfully removed corrosion without damaging the underlying material. In conclusion, laser cleaning is an effective technique for conserving metallic artistic and historical works.
This document discusses bifacial solar cells and some of the challenges in optimizing their performance. Key points include:
1) Bifacial n-type "Panda" cells show potential for high rear-side efficiency but are not yet optimized for bifacial performance.
2) Bifacial cells have a wider efficiency distribution on the rear side than the front, impacting cell selection and module performance.
3) Parameters like bulk lifetime, resistivity, and surface passivation influence rear-side IQE and current collection, which can vary more than on the front side.
4) At high resistivity and efficiency, bifacial cells may have slower response times that require
This document provides information on One Hole Cableclamps used to fix power cables. It is manufactured in black polypropylene, black flame retardant nylon, or a London Underground approved material. The document includes a selection table listing the various part numbers, material types, cable diameter ranges, and dimensions for each clamp. It also details testing information showing the clamps meet the European standard for cable cleats and lists properties such as temperature resistance, impact resistance, and load testing results.
Application of FT-IR to Studies of Surfactant BehaviorDavid Scheuing
Talk from the 2011 American Oil Chemist's Society meeting (Surfactants and Detergents Division). Reviews the basics of FT-IR spectroscopy and how it can be used in a wide range of applications to surfactant science.
How can FT-IR deal with aqueous solutions? How can shifts in wavenumber be interpreted? What is a significant shift in wavenumber?
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography PrintoutJohn Petersen
This document summarizes next-generation multi-wavelength lithography techniques that can achieve resolutions below 10 nm. It discusses using multiple wavelengths of light - an activation wavelength to expose areas and a deactivating wavelength to trim the exposed areas via stimulated emission depletion (STED). This allows placing features closer together than the diffraction limit. The document outlines resist requirements, throughput estimates for different pattern types, and status of material development challenges. It proposes that multi-color resists using 3 wavelengths provide the best path to small pitches and require further industrial support.
This document summarizes research on improving the efficiency of n-type solar cells beyond 20% efficiency. Key points include: improvements to the existing n-pasha cell process achieved gains of 0.3-0.4% absolute, including more stable processing, reduced front metallization, and an improved back surface field; an efficiency of 20% was obtained using these improvements; further efficiency gains may be possible through an n-type multi-wire technology cell design which achieved a 0.3% absolute gain over n-pasha, reaching 19.7% efficiency.
20140913 - Multi-Color Lithography Assessment by Simulation for postingJohn Petersen
This document summarizes research on multi-color lithography techniques for semiconductor manufacturing. It discusses using multiple wavelengths of light to improve lithographic resolution beyond the diffraction limit, with the potential to achieve resolutions under 10 nm. Key challenges discussed include resist requirements to function as an optical switch and withstand the lithography process cycles without degradation, as well as understanding the impact of "flare" or non-ideal imaging on resolution limits. The document analyzes resolution limits theoretically possible and resist technical capabilities required to realize the potential of multi-wavelength lithography techniques for semiconductor applications.
This document discusses characterizing bifacial solar cells and achieving inter-laboratory comparability of results. It proposes using a black reference chuck with 0% reflectance as the standard, as it is easy to define and realize, and improves comparability between labs. A simple model is presented to estimate the impact of bifaciality based on a cell's spectral response and the chuck's reflectance properties. Experimental tests show good agreement with the model for some surfaces but higher currents for surfaces like anodized aluminum that reflect light more diffusely. Defining a reference standard is important for accurately comparing bifacial cell efficiencies between laboratories.
Patented way to create Silicon Controlled Rectifiers in SOI technology Sofics
This paper introduces an SCR based ESD protection design for SOI technologies. It is explained how efficient SCR devices can be constructed in SOI. These devices outperform MOS devices by about 4 times.
Experimental data from 65nm and 130nm SOI is presented to support this.
This document discusses Omnisens' DITEST system for distributed fiber optic leak detection. It summarizes that DITEST uses a single laser source and Brillouin scattering techniques to monitor thousands of locations along a single optical fiber with high sensitivity. DITEST offers long-range monitoring over 50km with 1m spatial resolution and temperature/strain measurement resolution of 0.5°C and 10mε. It provides continuous, real-time leak detection along pipelines with quick response times and no false alarms. DITEST is presented as offering significant advantages over alternative leak detection methods through its stability, sensitivity, scalability and lack of ongoing operational costs.
This document discusses bifacial solar cells and modules. It provides the following key points:
- Bifacial solar modules can provide a power gain of 7-9% compared to standard modules, or up to 30% when used with a special tracking system.
- A test of bifacial modules on a TRAXEL system in the Czech Republic showed a significant advantage over standard modules of similar capacity.
- Multi-crystalline silicon is suitable for bifacial applications despite lower minority carrier lifetimes. Measurements of diffusion lengths on multi-crystalline bifacial cells showed lengths over 300 microns.
- The "light trapping" effect can impact short circuit current
Patented solution to improve ESD robustness of SOI MOS transistorsSofics
Multi‐finger SOI MOS devices exhibit a low ESD failure current, related to the thin Si‐film and the complete isolation of the transistor body regions, causing non ]uniform conduction in bipolar snapback mode. The traditional layout approaches (silicide blocked junctions, increased gate length) are compared and a novel layout concept is proposed to improve uniform triggering.
Excellent ESD performance around 3mA/um2 is achieved for minimum dimension, fully silicided devices in a 90nm SOI technology.
Three hypothetical buried massive sulphide orebodies were modeled with ZTEM to test their detectability at depths of 700m, 1400m, and 2100m. 2D forward and inversion modeling found that a 7.5Mt orebody with a conductivity of 0.1 S/m would produce a detectable ZTEM response above the noise level at all three depths. Larger 15Mt and 30Mt bodies were also expected to be detectable. However, the modeling showed the layered rock cover and individual intrusive bodies would not be resolvable, only the conductive orebodies.
The document provides information on the key steps of the photolithography process used in integrated circuit fabrication. These include applying a photoresist to the wafer via spin coating, soft baking to remove solvents, aligning a mask and exposing the photoresist to light, post exposure baking to refine the pattern, developing to remove exposed or unexposed areas of photoresist, and hard baking to harden the photoresist for subsequent etching or implantation steps. Proper execution of each step is important for high resolution patterning needed to manufacture advanced microchips.
BBO crystal is suitable for harmonic generation operations also it will never be the harmful one at any time. BBO crystal was used in multiple fields plus in a short time, this enhances the most wanted one because of its idealness.
1) IMEC fabricated Cu2ZnSnSe4 solar cells with up to 6.3% efficiency by selenizing sputtered metal precursor layers.
2) Characterization found strong evaporation of Zn and Sn during selenization, doping levels around 1016 cm-3, and recombination centers limiting VOC to around 400 mV.
3) The best cell showed a JSC of 36.1 mA/cm2 but series resistance increased significantly at low temperature due to poor adhesion. Doping and defect densities correlated exponentially with Zn/Sn ratio in the absorber.
Ultrasound inspections were performed on glass fiber/phenolic resin and carbon fiber/epoxy resin composites during flexural fatigue testing. Specimens were scanned using ultrasound equipment after 10,000 and 12,000 cycles, revealing initial damage in the glass fiber composite. A glass fiber specimen failed at 13,344 cycles, showing a fracture. The study characterized the fatigue behavior of the materials and showed ultrasound can detect early stage damage during flexural fatigue testing of fiber reinforced polymer composites.
This document summarizes the design, fabrication, and characterization of a piezoelectric MEMS accelerometer. It discusses the motivation for using MEMS and piezoelectric materials for accelerometers. It then describes the design of a square and circular accelerometer with analytical models. The fabrication process integrates PZT thick film and MEMS techniques. Characterization shows the accelerometer has a resonance frequency of 25.8 kHz, voltage sensitivity of 0.28 mV/g, and charge sensitivity of 0.46 pC/g, meeting design goals.
This document discusses several projects related to plasma physics and nuclear physics that the author has worked on. It includes projects studying nuclear reactions in metals using deuterium absorption, characterizing electric arcs using electrical probes, using inductively coupled plasma for optical manufacturing, producing nanoparticles via laser ablation, and using neutron and gamma interrogation for security screening of luggage and parcels. Diagrams and images from various experiments and equipment are provided.
This document summarizes research on developing an integrated InP chip containing a 1310/1550nm diplexer/triplexer. The chip is designed to replace bulk optics and reduce costs for fiber networks. It integrates distributed feedback lasers, photodiodes, and wavelength division multiplexing filters onto a single InP chip using a seamless photonics fabrication process. Simulation and experimental results show the chip achieves wide gain across 1310-1550nm, wavelength division multiplexing exceeding 10dB, and supports 1Gbps transmission. The fully integrated chip has potential to lower costs for fiber-to-the-home networks.
This document discusses combining MEMS and microfluidics for mass sensing applications. It begins by introducing MEMS/NEMS mass sensors that can detect added mass through shifts in resonant frequency. Various resonator designs are described that aim to maximize sensitivity through high frequency and quality factor. Experimental results demonstrating mass detection down to the femtogram level are shown. The document then discusses integrating such sensors with microfluidics, specifically digital microfluidics techniques like electrowetting-on-dielectric and liquid dielectrophoresis, to enable mass sensing in liquid environments. Applications demonstrated include DNA hybridization assays and localized surface functionalization and particle trapping using digital microfluidics.
This document discusses the use of laser cleaning for conserving artwork. It describes how lasers work through selective vaporization to remove surface corrosion and grime. Experimental cleaning was performed on bronze sculptures with corroded surfaces, including prisoners on a monument. Different laser settings were tested under a microscope and it was found that settings of 125mJ and 154mJ at 10Hz successfully removed corrosion without damaging the underlying material. In conclusion, laser cleaning is an effective technique for conserving metallic artistic and historical works.
This document discusses bifacial solar cells and some of the challenges in optimizing their performance. Key points include:
1) Bifacial n-type "Panda" cells show potential for high rear-side efficiency but are not yet optimized for bifacial performance.
2) Bifacial cells have a wider efficiency distribution on the rear side than the front, impacting cell selection and module performance.
3) Parameters like bulk lifetime, resistivity, and surface passivation influence rear-side IQE and current collection, which can vary more than on the front side.
4) At high resistivity and efficiency, bifacial cells may have slower response times that require
This document provides information on One Hole Cableclamps used to fix power cables. It is manufactured in black polypropylene, black flame retardant nylon, or a London Underground approved material. The document includes a selection table listing the various part numbers, material types, cable diameter ranges, and dimensions for each clamp. It also details testing information showing the clamps meet the European standard for cable cleats and lists properties such as temperature resistance, impact resistance, and load testing results.
Application of FT-IR to Studies of Surfactant BehaviorDavid Scheuing
Talk from the 2011 American Oil Chemist's Society meeting (Surfactants and Detergents Division). Reviews the basics of FT-IR spectroscopy and how it can be used in a wide range of applications to surfactant science.
How can FT-IR deal with aqueous solutions? How can shifts in wavenumber be interpreted? What is a significant shift in wavenumber?
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography PrintoutJohn Petersen
This document summarizes next-generation multi-wavelength lithography techniques that can achieve resolutions below 10 nm. It discusses using multiple wavelengths of light - an activation wavelength to expose areas and a deactivating wavelength to trim the exposed areas via stimulated emission depletion (STED). This allows placing features closer together than the diffraction limit. The document outlines resist requirements, throughput estimates for different pattern types, and status of material development challenges. It proposes that multi-color resists using 3 wavelengths provide the best path to small pitches and require further industrial support.
This document summarizes research on improving the efficiency of n-type solar cells beyond 20% efficiency. Key points include: improvements to the existing n-pasha cell process achieved gains of 0.3-0.4% absolute, including more stable processing, reduced front metallization, and an improved back surface field; an efficiency of 20% was obtained using these improvements; further efficiency gains may be possible through an n-type multi-wire technology cell design which achieved a 0.3% absolute gain over n-pasha, reaching 19.7% efficiency.
20140913 - Multi-Color Lithography Assessment by Simulation for postingJohn Petersen
This document summarizes research on multi-color lithography techniques for semiconductor manufacturing. It discusses using multiple wavelengths of light to improve lithographic resolution beyond the diffraction limit, with the potential to achieve resolutions under 10 nm. Key challenges discussed include resist requirements to function as an optical switch and withstand the lithography process cycles without degradation, as well as understanding the impact of "flare" or non-ideal imaging on resolution limits. The document analyzes resolution limits theoretically possible and resist technical capabilities required to realize the potential of multi-wavelength lithography techniques for semiconductor applications.
This document discusses characterizing bifacial solar cells and achieving inter-laboratory comparability of results. It proposes using a black reference chuck with 0% reflectance as the standard, as it is easy to define and realize, and improves comparability between labs. A simple model is presented to estimate the impact of bifaciality based on a cell's spectral response and the chuck's reflectance properties. Experimental tests show good agreement with the model for some surfaces but higher currents for surfaces like anodized aluminum that reflect light more diffusely. Defining a reference standard is important for accurately comparing bifacial cell efficiencies between laboratories.
Patented way to create Silicon Controlled Rectifiers in SOI technology Sofics
This paper introduces an SCR based ESD protection design for SOI technologies. It is explained how efficient SCR devices can be constructed in SOI. These devices outperform MOS devices by about 4 times.
Experimental data from 65nm and 130nm SOI is presented to support this.
This document discusses Omnisens' DITEST system for distributed fiber optic leak detection. It summarizes that DITEST uses a single laser source and Brillouin scattering techniques to monitor thousands of locations along a single optical fiber with high sensitivity. DITEST offers long-range monitoring over 50km with 1m spatial resolution and temperature/strain measurement resolution of 0.5°C and 10mε. It provides continuous, real-time leak detection along pipelines with quick response times and no false alarms. DITEST is presented as offering significant advantages over alternative leak detection methods through its stability, sensitivity, scalability and lack of ongoing operational costs.
This document discusses bifacial solar cells and modules. It provides the following key points:
- Bifacial solar modules can provide a power gain of 7-9% compared to standard modules, or up to 30% when used with a special tracking system.
- A test of bifacial modules on a TRAXEL system in the Czech Republic showed a significant advantage over standard modules of similar capacity.
- Multi-crystalline silicon is suitable for bifacial applications despite lower minority carrier lifetimes. Measurements of diffusion lengths on multi-crystalline bifacial cells showed lengths over 300 microns.
- The "light trapping" effect can impact short circuit current
Patented solution to improve ESD robustness of SOI MOS transistorsSofics
Multi‐finger SOI MOS devices exhibit a low ESD failure current, related to the thin Si‐film and the complete isolation of the transistor body regions, causing non ]uniform conduction in bipolar snapback mode. The traditional layout approaches (silicide blocked junctions, increased gate length) are compared and a novel layout concept is proposed to improve uniform triggering.
Excellent ESD performance around 3mA/um2 is achieved for minimum dimension, fully silicided devices in a 90nm SOI technology.
Three hypothetical buried massive sulphide orebodies were modeled with ZTEM to test their detectability at depths of 700m, 1400m, and 2100m. 2D forward and inversion modeling found that a 7.5Mt orebody with a conductivity of 0.1 S/m would produce a detectable ZTEM response above the noise level at all three depths. Larger 15Mt and 30Mt bodies were also expected to be detectable. However, the modeling showed the layered rock cover and individual intrusive bodies would not be resolvable, only the conductive orebodies.
The document provides information on the key steps of the photolithography process used in integrated circuit fabrication. These include applying a photoresist to the wafer via spin coating, soft baking to remove solvents, aligning a mask and exposing the photoresist to light, post exposure baking to refine the pattern, developing to remove exposed or unexposed areas of photoresist, and hard baking to harden the photoresist for subsequent etching or implantation steps. Proper execution of each step is important for high resolution patterning needed to manufacture advanced microchips.
BBO crystal is suitable for harmonic generation operations also it will never be the harmful one at any time. BBO crystal was used in multiple fields plus in a short time, this enhances the most wanted one because of its idealness.
1) IMEC fabricated Cu2ZnSnSe4 solar cells with up to 6.3% efficiency by selenizing sputtered metal precursor layers.
2) Characterization found strong evaporation of Zn and Sn during selenization, doping levels around 1016 cm-3, and recombination centers limiting VOC to around 400 mV.
3) The best cell showed a JSC of 36.1 mA/cm2 but series resistance increased significantly at low temperature due to poor adhesion. Doping and defect densities correlated exponentially with Zn/Sn ratio in the absorber.
Ultrasound inspections were performed on glass fiber/phenolic resin and carbon fiber/epoxy resin composites during flexural fatigue testing. Specimens were scanned using ultrasound equipment after 10,000 and 12,000 cycles, revealing initial damage in the glass fiber composite. A glass fiber specimen failed at 13,344 cycles, showing a fracture. The study characterized the fatigue behavior of the materials and showed ultrasound can detect early stage damage during flexural fatigue testing of fiber reinforced polymer composites.
This document summarizes the design, fabrication, and characterization of a piezoelectric MEMS accelerometer. It discusses the motivation for using MEMS and piezoelectric materials for accelerometers. It then describes the design of a square and circular accelerometer with analytical models. The fabrication process integrates PZT thick film and MEMS techniques. Characterization shows the accelerometer has a resonance frequency of 25.8 kHz, voltage sensitivity of 0.28 mV/g, and charge sensitivity of 0.46 pC/g, meeting design goals.
This document discusses several projects related to plasma physics and nuclear physics that the author has worked on. It includes projects studying nuclear reactions in metals using deuterium absorption, characterizing electric arcs using electrical probes, using inductively coupled plasma for optical manufacturing, producing nanoparticles via laser ablation, and using neutron and gamma interrogation for security screening of luggage and parcels. Diagrams and images from various experiments and equipment are provided.
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 ÅUniversity of Glasgow
The document discusses plasma diagnostic observations of eruptive solar prominences from SDO/AIA at 304 Angstroms. New non-LTE radiative transfer calculations allow plasma parameters like temperature, column mass, and radial velocity to vary, unlike previous models. The observations and new models show that the Helium II 304 Angstrom line intensity can either decrease or increase with increasing radial velocity, depending on changes in the plasma parameters. Allowing parameters to vary produces a range of intensities that matches the range seen in SDO/AIA observations qualitatively.
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIXAstroAtom
Talk given by E. Träbert, P. Beiersdorfer , J. Clementson at the 17th International Conference on Atomic Processes in Plasmas, Belfast, UK, 19-22 July 2011.
Double patterning lithography is a technique used to print integrated circuit designs when feature sizes shrink below the resolution limits of a single exposure. It involves splitting the circuit layout into two masks and exposing the photo-resist layer twice to print the full design. Decomposing the circuit layout and assigning patterns to the two masks is an NP-hard graph coloring problem. The document describes techniques for decomposing the conflict graph that represents incompatible patterns, including using SPQR trees to decompose into tri-connected components and solving each independently. Experimental results show the proposed method can achieve a 3-10x speedup over other approaches.
Semiconductor equipment industry report, 2009168report
This document provides a 116-page report on the semiconductor equipment industry in 2009. It summarizes the drastic decline in the industry from 2007 to 2009, with nearly all manufacturers seeing less than half of their 2007 revenue levels. The report analyzes market trends for various semiconductor equipment manufacturers and segments, including lithography, wafer fabrication, memory, and IDM. It also profiles the top 20 semiconductor equipment companies and examines their financial performance over this period.
06 light management by nano materials-huis in t veld, kriya materialsSirris
Kriya Materials develops and manufactures custom nano-particle coatings for applications including lighting, displays, and solar energy. Their coatings include anti-reflection coatings to reduce light reflection losses at interfaces. Their 1-pot anti-reflection coating applies a single wet layer containing nanoparticles to provide the effectiveness of a double layer coating at a lower production cost. They also develop light coupling coatings to increase light absorption in solar cells and light extraction from LEDs, OLEDs and displays through refractive index matching of encapsulant materials.
Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of features. The resolution of a photoresist pattern is believed to blur at around 45 nm half-pitch. For the semiconductor industry, therefore, double patterning was introduced for the 32 nm half-pitch node and below. This presentation gives us an insight of why multiple patterning is an important to give us a better resolution below 32nm.
1. The document discusses the process for designing and fabricating analog integrated circuits using CMOS technology. It covers topics like MOS transistor operation, CMOS fabrication process steps, and SPICE device modeling parameters.
2. The CMOS fabrication process involves growing gate oxides, depositing polysilicon gates, implanting sources and drains, and depositing multiple metal interconnect layers.
3. SPICE device models are needed to simulate the behavior of transistors and circuits during the design process prior to fabrication. Device parameters are provided for a 0.8 micron CMOS process.
Public Presentation, ASML EUV forecast Jul 2010JVervoort
The document discusses progress on EUV lithography systems for semiconductor manufacturing. It outlines ASML's lithography roadmap to support Moore's Law with EUV technology. It describes the status of their 0.25NA and 0.32NA EUV systems, including resolution improvements achieved and integration progress. It provides outlook on their EUV roadmap and future systems aimed at 16nm nodes and beyond.
This document provides an overview of plasma physics concepts. It defines an ionized gas and explains how the Saha equation describes ionization equilibrium. It also discusses how an ionized gas can become a plasma if it exhibits collective behavior and quasineutrality. Additionally, it introduces the Maxwellian velocity distribution and kinetic equations like the Boltzmann and Vlasov equations that govern plasma behavior.
This document discusses masked ion beam lithography (MIBL), which uses a focused ion beam to pattern biomaterials. MIBL allows for selective patterning without multiple processing steps like photolithography. Common ion sources for MIBL include ions of calcium, magnesium, sodium, and phosphorus. The setup involves an ion source, mass separation unit, and electrostatic system to produce a parallel ion beam, along with a cooled mask and substrate. MIBL has applications in nanofabrication, circuit editing, and biomaterial patterning without complex processing. Its advantages over other techniques include reduced steps, tailored surface chemistry, and independent patterning of material strength and composition.
The document contains notes on Analog and Digital VLSI Design from a course taught at BITS Pilani in Fall 2013. It includes a disclaimer noting that the information is provided for educational purposes only without any guarantees. It also states that the content was prepared by Akshansh Chaudhary based on a course by Prof. Vijaya Gunturu and includes copyright information and a link for more resources.
This document summarizes the double-gate MOSFET transistor. It begins by describing the basic operation of a single-gate MOSFET and then discusses the scaling limitations of bulk MOSFETs, such as decreasing carrier mobility and threshold voltage rolloff as channel length decreases. It introduces the double-gate MOSFET as a way to better control the channel and reduce short-channel effects. Key features of the double-gate MOSFET include two gates that control the ultra-thin body channel and allow direct scaling to small channel lengths of 20nm or less. Fabricating double-gate MOSFETs using a silicon-on-insulator approach provides benefits like low leakage currents. The double gates provide improved performance
FINFETs were developed to address issues with traditional MOSFETs as components continue to shrink, including short channel effects and higher leakage currents. FINFETs utilize a fin-like structure with a gate on three sides to improve control over the channel and suppress short channel effects. This allows for better scaling to smaller sizes while maintaining performance and lowering power consumption compared to planar MOSFETs and dual-gate devices.
A brief overview of the processes involved in nanolithography & nanopatterning. It mainly discusses the steps, mechanism & instrumentation of the electron beam lithography in detail. It also gives a small view on other technologies as well.
This document provides an overview of plasma physics and its applications. It introduces plasma as the fourth state of matter and discusses its fundamental properties and types. The document outlines various methods for plasma formation, including passive thermal ionization and active generation using external energy sources. Atmospheric and vacuum plasma generation techniques are examined, along with their applications in science, technology, and industry. The document concludes that plasma physics remains an interesting field with opportunities for new discoveries.
Lithographic photomasks are typically transparent fused silica blanks covered with a pattern defined with a chrome metal-absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (EUV), X-ray, electrons, and ions; but these require entirely new materials for the substrate and the pattern film.
This document summarizes several bifacial solar cell technologies:
1) Heterojunction solar cells show similar efficiency potential for bifacial (20%) and non-bifacial configurations but rear efficiency is lower (17%) due to reflectivity limitations.
2) N-type PERT cells initially showed lower rear efficiency (16%) but modifying the process to use a lighter rear doping achieved 92% of front efficiency (18%).
3) Ion implantation and co-diffusion processes simplify PERT cell fabrication and could achieve the highest efficiency (19.5%) at lowest cost through fewer steps.
X ray photoelectron spectroscopy (xps) iit kgpak21121991
The document provides an overview of X-ray photoelectron spectroscopy (XPS) and its applications in analyzing semiconductor devices and materials. It discusses how XPS can be used to determine elemental composition, chemical state and electronic state. Examples are given of how XPS has been used to analyze metal-insulator-semiconductor contacts, high-k dielectric films, titanium dioxide structures, molybdenum disulfide, aluminum oxide thin films and nickel silicide. Both XPS and ultraviolet photoelectron spectroscopy are discussed. In summary, the document outlines the capabilities of XPS and gives several examples of its use in characterizing semiconductor materials and devices.
The document discusses a presentation given by Ashish Kumar Singh on his research investigating heterojunction silicon-on-insulator tunnel field effect transistors. The presentation outline includes an introduction discussing challenges with MOSFET scaling, the history and state-of-the-art of TFET research, the basic structure and operation of TFETs, investigations of Ge-source/Si strained SOI TFETs, a proposed Ge-source SOI TFET with oxide overlap, analytical modeling of the proposed device, conclusions and future work.
Performance Analysis of Junctionless Sonos MemoryIRJET Journal
This document analyzes the performance of junctionless silicon-oxide-nitride-oxide-silicon (SONOS) memory devices built on bulk silicon substrate versus silicon-on-insulator (SOI) substrate through two-dimensional simulations. The simulations compare characteristics like programming efficiency and threshold voltage shift. Results show the SOI device provides a larger memory window and faster programming, but slower erasing than the bulk device. Parameters like substrate doping and nanowire doping can be optimized to improve the memory performance for the bulk device.
Plenary lecture - XV B-MRS Meeting - Campinas, SP, Brazil - September, 25 to 29, 2016.
Author: Elvira Fortunato (CENIMAT, Universidade Nova de Lisboa, Portugal).
This document provides information on welding techniques, consumables, and defects for pipeline welding. It discusses manual metal arc welding as the primary process for pipeline construction, noting advantages like ease of use, accessibility, and lack of need for shielding gas. Cellulosic and basic electrodes designed for specific pipeline steels and standards are described. Welding positions, joint types, and electrode angles are defined. The document also provides electrode consumption guidelines and specifications for pipeline steels and qualities. Defect causes and remedies are reviewed along with an introduction to automatic welding techniques.
This term presentation was submitted as a partial requirement for the course: MSE 507: Advanced micro-fabrication with CAD (TSUPREM4). In this presentation, the fabrication process of 3D FINFET transistor has been presented in accordance with US patent (Patent no. US 7,973,389 B2, assignee: Intel Corporation, Santa Clara, CA, US) using Shallow Trench Isolation (STI) method.
The document discusses a physics-based model for Fowler-Nordheim tunneling write/erase operations in 3D nanocrystal flash memories with silicon nanocrystals. The model takes into account the influence of fin corner rounding. Comparison with 3D TCAD simulations and experimental data show that programming windows are larger and dynamics faster in corner regions due to their cylindrical geometry. The model can be extended to various 3D memory device architectures.
Development of remote operated inspection technique for ABWR RIP pipe welds
Study of Ultrasonic Techniques on the Inspection of NPP Components
Development of Automated Electromagnetic Techniques for Inspecting Inner Cracks of LPG Tanks
Reliability Assessment of Automated Eddy Current System for Turbine Blades
Inspection of HTHA on Reactors in CPC Refinery
The document discusses research into printed carbon nanotube (CNT) vacuum electronics. It aims to demonstrate the feasibility of printed CNT devices and integrating a vacuum diode into printed electronics. Progress includes growing high quality CNTs at low temperatures compatible with substrates, demonstrating vacuum diodes with thresholds of 15V, and developing the process flow and mask design for a nanoscale printed diode.
This document describes a method for quadrupling the density of fins in MuGFET devices using a spacer patterning technique. Key points:
- A fin quadrupling process is demonstrated using two spacer deposition steps to pattern fins with a pitch of 50nm, enabling higher device density.
- Selective epitaxial growth is used to connect fins outside the spacer region, reducing parasitic resistance and increasing drive current density.
- Devices and SRAM cells are successfully fabricated using the fin quadrupling approach combined with selective epitaxial growth and wrap-around contacts. This achieves better performance than conventional fin patterning.
- The spacer patterning provides more uniform fin critical dimensions and higher layout
There is nowadays a growing need for sensing devices offering rapid and portable analytical functionality in real-time as well as massively parallel capabilities with very high sensitivity at the molecular level. Such devices are essential to facilitate research and foster advances in fields such as drug discovery, proteomics, medical diagnostics, systems biology or environmental monitoring.
In this context, an ideal solution is an ion-sensitive field-effect transistor sensor platform based on silicon nanowires to be integrated in a CMOS architecture. Indeed, in addition to the expected high sensitivity and superior signal quality, such nanowire sensors could be mass manufactured at reasonable costs, and readily integrated into electronic diagnostic devices to facilitate bed-site diagnostics and personalized medicine. Moreover, their small size makes them ideal candidates for future implanted sensing devices. While promising biosensing experiments based on silicon nanowire field-effect transistors have been reported, real-life applications still require improved control, together with a detailed understanding of the basic sensing mechanisms. For instance, it is crucial to optimize the geometry of the wire, a still rather unexplored aspect up to now, as well as its surface functionalization or its selectivity to the targeted analytes.
This project seeks to develop a modular, scalable and integrateable sensor platform for the electronic detection of analytes in solution. The idea is to integrate silicon nanowire field-effect transistors as a sensor array and combine them with state-of-the-art microfabricated interface electronics as well as with microfluidic channels for liquid handling. Such sensors have the potential to be mass manufactured at reasonable costs, allowing their integration as the active sensor part in electronic point-of-care diagnostic devices to facilitate, for instance, bed-side diagnostics and personalized medicine. Another important field is systems biology, where many substances need to be quantitatively detected in parallel at very low concentrations: in these situations, the platform being developed fulfills the requirements ideally and will have a strong impact and provide new insights, e.g. into the metabolic processes of cells, organisms or organs.
D2 (A4) Björn Täljsten - Wireless monitoring for assessment of concrete railw...Svenska Betongföreningen
The document summarizes wireless monitoring of a concrete railway bridge in Sweden to investigate the cause of cracks and the bridge's ability to handle increased axle loads. A monitoring system was installed using sensors to measure temperature, strain, deflection, and acceleration. Non-destructive testing mapped rebar location, tendon placement, and crack depths. Preliminary results found the concrete cover varies significantly and temperature does not cause the cracks, which are likely from bursting forces during construction. Modelling of the bridge is ongoing.
1. The document describes vertical double-diffused metal-oxide-semiconductor field-effect transistors (VDMOSFETs) fabricated using a substrate transfer silicon-on-glass technology.
2. Key characteristics of the fabricated VDMOSFETs include a breakdown voltage of nearly 100V, an fT/fmax of 6/10 GHz, high power gain of 14 dB at 2 GHz, and excellent linearity with an IM3 below -50 dBc at 10 dB back-off.
3. The substrate transfer process allows elimination of source lead inductance issues and excellent heat dissipation, ensuring good thermal stability and long-term reliability of the high-performance VDMOSFET
Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Eff...IJERA Editor
An aggressive scaling of conventional MOSFETs channel length reduces below 100nm and gate oxide thickness below 3nm to improved performance and packaging density. Due to this scaling short channel effect (SCEs) like threshold voltage, Subthreshold slope, ON current and OFF current plays a major role in determining the performance of scaled devices. The double gate (DG) MOSFETS are electro-statically superior to a single gate (SG) MOSFET and allows for additional gate length scaling. Simulation work on both devices has been carried out and presented in paper. The comparative study had been carried out for threshold voltage (VT), Subthreshold slope (Sub VT), ION and IOFF Current. It is observed that DG MOSFET provide good control on leakage current over conventional Bulk (Single Gate) MOSFET. The VT (Threshold Voltage) is 2.7 times greater than & ION of DG MOSFET is 2.2 times smaller than the conventional Bulk (Single Gate) MOSFET.
IRJET - A Review on the Hysteretic Effects on Thin Film TransistorsIRJET Journal
1) The document reviews hysteresis effects on thin film transistors (TFTs), specifically polycrystalline silicon TFTs. Hysteresis refers to a lag between input and output in a system and can cause permanent memory effects.
2) Testing showed that the threshold voltage of poly-Si TFTs varied depending on the direction of the gate voltage sweep (forward or reverse) due to trapped charges in the gate insulator, an example of hysteresis.
3) The hysteresis was less for poly-Si TFTs than amorphous silicon TFTs due to better gate insulator quality in poly-Si TFTs, but still caused a 0.21V difference in threshold
Similar to EBuitrago Vertically Stacked SiNW Sensor (20)
IRJET - A Review on the Hysteretic Effects on Thin Film Transistors
EBuitrago Vertically Stacked SiNW Sensor
1. IC Device 1(Technology 1)
MetallisationSystem 1
IC Device 2(Technology 2) with TSV
MetallisationSystem 2
IC Device 2(Technology 2) with TSV
MetallisationSystem 2Metallisation
MEMS/NEMS Device
possibly withTSV
Cap -Chip (Wafer)
MEMS/NEMS Device
possibly withTSV
Cap -Chip (Wafer)
MEMS/NEMS Device
possibly with TSV
Cap -Chip (Wafer)
e-BRAINS
High Performance Vertically Stacked
SiNW/Fin Based 3D FET for Biosensing
Applications
Elizabeth Buitrago
2. E. Buitrago
o Introduction
o Fabrication
o Vertically stacked fabrication approaches
o Short-loop and process flow
o Electrical characterization
o Dry characterization after SiNW release
o Liquid gated experiments
o Sensor testing
o Surface modification, fluid delivery, experimental set-up
o pH and streptavidin sensing
o Vertically stacked FET sensor in Bulk Si
o Conclusions
Outline
2
4. E. Buitrago
Introduction
4
Why FET Based and Si-Nanostructures for Sensing?
o High sensitivity from planar
ISFET to 3D SiNWs:
o Nanoscale high S/V
o Cross section modulation vs.
surface only
o High selectivity:
o Selectivity/specificity through
surface functionalization
(proteins, DNA, viruses, etc.)
o Direct monitoring:
o Label free
o Real time detection
o Fast, POC, low cost
Specific detection of disease biomarkers
with high sensitivity at ultra-low
concentrations in a direct, non-invasive,
real time manner is sometimes necessary.
Diagnosis of asymptomatic and
aggressive diseases at early stage.
Application Example: Colon cancer
diagnosis
From: BIOS/Lab on a Chip Group, University of Twente
http://www.utwente.nl/onderzoek/themas/health/e
n/lab-on-a-chip/lab-on-a-chip/nanopil/
colonoscopy
nanopill ingestion
5. E. Buitrago
Introduction
5
Why Si-Nanostructure 2D Arrays?
o Increased chances for biomolecule
interaction.
o Increased number of sensing channels.
o Increased output currents Ion.
o Increased number of conduction
channels.
o Reduced device-to-device variation:[1]
o Less variation of Vth, SS and gm,max vs.
single SiNWs device.
o Reduction of variation caused by random
dopant fluctuation low doped
channels (for high sensitivities).
Can we go one step further?
[1] Regonda et al. Biosens. Bioelectron. 2013, 45, 245-251.
6. E. Buitrago
o Higher chances for biomolecule
interactions for sensing:
o High number of sensing channels in
two directions.
o Suspended structures entire
SiNW surface area available for
sensing.
o Higher output currents Id:
o High number of conduction
channels.
o Higher utilization of Si substrate:
o High number of SiNW without
increasing Si-footprint.
Introduction
6
Why 3D Vertically Stacked SiNW/Fin FET Sensor?
y
z
x
7. E. Buitrago
o SiNWs stacked in between
S/D anchors
o Device operated by SGs,
VRef, VBG through liquid
Introduction
7
Objective
Develop vertically stacked SiNW/Fin FET
biosensor to be integrated into a 3D
heterogeneous system (e-BRAINS + SiNAPS).
8. E. Buitrago
o Structure:
o Ultra-thin (high S/V) NWs < 40 nm
o Medium NW array density > 5 SiNWs/μm
o Long channels > 1 μm
o Uniformly distributed array
o Uniform NW diameters
o Fabrication process flow:
o Top-Down, CMOS compatible
o Cost effective
o Heterogeneous integration TSV
compatibility
o Suspended and thin, possibly fragile
o Fluid delivery, isolation, topography
o Availability (CMi)
Fabrication
8
Structure and Fabrication Requirements
y
z
x
9. E. Buitrago
o Fabrication:
o Si (110) wafer etched (1) by KOH
anisotropically.
o Metal evaporation at an angle (2).
o Metal (Ti, Au) catalyzed growth of SiNWs on
(111) sidewall (3) to bridge opposite wall (4).
o Advantages and limitations
(‒) Bottom-up, not CMOS compatible
(+) Cheap, no high resolution lithography needed
(+) Medium vertical density ~ 5 NWs/μm
(‒) Non-uniform NW thickness
(‒) Random growth
(‒) NW length limited by trench opening
(‒) Not ultra-thin NW diameters possible > 90 nm
Fabrication
9
VLS-CVD Growth
Fabrication aproach: Vapor liquid solid (VLS) chemical vapor deposition (CVD) growth
Islam et al. Nanotechnology. 2004, 15, L5. (Hewlett Packard, USA)
(1) (2)
(3) (4)
10. E. Buitrago
Fabrication
10
Stacked SiGe NW Array
Fabrication aproach: epitaxial growth Si/SiGe and patterning
[1]
[2]
(1)
(2)
(3)
[1] Bera et al. IEDM, 2006, 298. (A-Star, Singapore), [2] Ernst et al. IEDM, 2006, 740. (CEA-LETI, France)
o Fabrication:
o Si and SiGe(buffer)/Ge multi-layer (1) epitaxial growth.
o Fin patterning by RIE (2).
o NW formation (3) by the selective isotropic etch of
sacrificial SiGe/Ge.
o Advantages and limitations:
(+) Top-down, CMOS compatible
(‒) Epitaxial growth is expensive and complicated
(~) Ultra-high vertical NW density, not necessary
(+) Uniform NW thickness
(~) Ultra-thin NWs, limited thickness, only Si-nanoribbons
or NWs possible due to lattice mismatch
(+) NWs uniformly stacked
11. E. Buitrago
o Fabrication:
o BOSCH (1).
o Thermal oxidation (2).
o BHF oxide removal (3).
o Advantages and limitations
(+) Top-down, CMOS compatible
(+) Cheap, no high resolution lithography
needed NW diameter further scaled
by oxidation
(+) Medium to high vertical density
(‒) Optimization can be time consuming
(+) NWs uniformly distributed
(+) Ultra-thin NWs possible < 40 nm
Fabrication
11
BOSCH and Sacrificial Oxidation
Fabrication aproach: BOSCH + thermal oxidation + BHF release
Doherty et al. ISCAS, 2003, 934. (Berkeley, USA)
Ng et al. EDSSC, 2007, 133.
(1)
(2)
(3)
12. E. Buitrago
Approach Top-
down
CMOS
compatible
Heterogeneo
us integration
NW
diameters
< 40 nm
when
suspended
NW
length
> 1 μm
Array
uniformity
NW
density
Availability
at EPFL-CMi
VLS-CVD No No, dirty
process
No No No
> 2 μm
limited
by initial
trench
opening
No
Random
growth
Yes
Medium
No
Si/Ge
epitaxy
Yes Yes Yes Yes
Maximum
thickness
limited by
Si/Ge
lattice
mismatch
Not clear
< 500 nm,
depends
on NW
thickness
Yes
Well
controlled
No
Ultra-
high
No
BOSH +
thermal
oxidation
Yes Yes Yes Yes
Can be
controlled
by careful
design
Yes
< 10 μm,
depends
on NW
thickness
Yes
Well
controlled
Yes
Medium
to ultra-
high
Yes
12
Fabrication Approaches Compared
Fabrication
13. E. Buitrago
o BOSCH Process Scallop formation
o C4F8 passivation step (side wall protection), 1s
o O2 polymer removal (bottom trench/scallop), 1s
o SF6 isotropic etch (scallop formation), 2s
(process temperature 0 °C)
o Thermal oxidation NW formation
o BHF oxide removal NW release
o H2O, air dried.
Fabrication
13
Optimization of Short-Loop SiNW
Fabrication Process
14. E. Buitrago
After BHFAfter oxidationAfter BOSCH
Fabrication
14
Optimization of Short-Loop SiNW Fabrication Process
Any process non-uniformity is furthermore highlighted after each step:
Mask patterning mask etching BOSCH thermal oxidation BHF
Trench opening (T) and silicon spacer (S) width combination optimization
After BOSCH After oxidation
15. E. Buitrago
Fabrication
15
Optimization of Short-Loop SiNW Fabrication Process
o Up to 16 NWs vertically stacked
o Vertical NW density: up to 10 NW/μm
o dNW down to 15 – 30 nm
o L = 2 – 5 μm (up to 10 μm dNW > 50 nm )
o BOSCH recipe NW
diameter variation from
top to bottom of trench
o T vertical density
o S + T horizontal NW
density
o S + T + oxidation + BHF
final NW diameter
16. E. Buitrago
Masking level 0: alignment marks
o Patterning optical and e-beam marks
Masking level 1: SiNW formation
o LTO/ZEP deposition
o e-beam patterning
o Hard mask dry etch patterning
o Scallop formation by BOSCH
o SiNW formation by thermal oxidation
o 1 μm LTO implantation mask deposition
Fabrication
16
Process Flow
6 masking levels, 4 e-beam lithography steps, SOI, p-type, 1-10 Ohm·cm, device layer: 1 μm
17. E. Buitrago
Masking level 2: Implantation
S/D junctions > 1018 cm-3 N+ phosphorous
o Monte-Carlo 2D Simulations
o LTO mask thickness: 1 μm
o Energy: 1e16 cm-2
o Dose: 320 keV
o RTA: 30 secs
o 1 μm Si device layer 7 ‒ 8 NWs stacked
Fabrication
17
Process Flow
6 masking levels, 4 e-beam lithography steps, SOI, p-type, 1-10 Ohm·cm, device layer: 1 μm
Number of NWs that can be stacked in vertical direction limited by
implantation deeper S/D junctions prohibitively expensive high implant
energies and doses needed.
NW length limited by dopant lateral spread
18. E. Buitrago
Masking level 2: Implantation
o ZEP deposition, e-beam patterning
o Implant mask patterning by dry etch
o Implantation (IBS) + RTA (LAAS)
Masking level 3 + 4: Metallization
o Side gate patterning (e-beam)
o PMMA/MMA dep., e-beam patterning
o Ti+Pt evaporation, lift-off
o S/D metallization (optical-litho)
o LOR/AZ resist dep. and optical litho
o Ti+Al+Pt evaporation, lift-off
o anneal (425 °C, forming gas, 30 mins)
Fabrication
18
Process Flow
6 masking levels, 4 e-beam lithography steps, SOI, p-type, 1-10 Ohm·cm, device layer: 1 μm
19. E. Buitrago
Masking level 5: SU-8 isolation
o SU-8 deposition, optical lithography
30 x 30 μm2 window, expose NWs and SG
Masking level 6: NW release
o ZEP deposition, e-beam patterning
o BHF oxide removal + O2 plasma
o Metal evaporation, ALD dielectric
deposition
3D Integration possible:
o TSV-last, TSV from back of wafer
o SiNWs protected until end of process by
SiO2
Fabrication
19
Process Flow
6 masking levels, 4 e-beam lithography steps, SOI, p-type, 1-10 Ohm·cm, device layer: 1 μm
20. E. Buitrago
Electrical Characterization
20
Dry Characterization After SiNW Release: BG, DG
Ambient, dry conditions (air εr = 1) room temperature, native oxide as gate dielectric (SiO2 εr = 3.9)
o Normal operation of n-type device transitioning from linear to saturation.
o Poor electrostatic control, SG distance ~ 1 μm, BG BOX distance ~ 70 nm.
o Ioff = 1.2 × 10-6 mA/μm, Ion = 0.5 μA/μm, Ion/Ioff > 102.
o SS = dVBG/d(log10Id) ~ 7.5 V/dec and Vth ~ 13.5 V for VDG = 0 V.
o Slight SS improvement in the Id – VBG as the VDG increases.
SS ~ 6.7 V/dec
SS ~ 19 V/dec
Double SG
(VDG)
potential
increases
21. E. Buitrago
Electrical Characterization
21
Wet Characterization After SiNW Release: SG
Ambient, in isopropanol (IPA εr = 18), room temperature, native oxide as gate dielectric (SiO2 εr = 3.9)
o Use of Pt SG with IPA produces repeatable measurements.
o Id ‒ VSG for different devices within same die are comparable.
o SS (130 ± 21 mV/dec), Vth (2.43 ± 0.98 V) variation non-dedicated FAB.
o Curve shifts to left positive charge trapping.
o Little hysteresis (< 15 mV) found small surface and interface (Si/SiO2) defect
induced charge trapping.[1] Hysteresis affects sensor response drift.
1 mm
Bubbles appear at VSG ~ 10 V, IPA and @ ~5 V PBS pH = 7
[1] Ong et al. J. Phys. D: Appl. Phys. 2011, 44, 28530.
22. E. Buitrago
Electrical Characterization
22
Wet Characterization After SiNW Release: SG
o Excellent electrostatic control through liquid:
o SS ~ 100 mV/dec (87 mV/dec in PBS), Ion > 2 mA/μm.
o Vth ~ 2.24 V (1.93 V in PBS), gm = (dId/dVSG) > 10 µS
o Ioff < 2.1 × 106 mA/μm, Ion/Ioff > 106 for Vd < 500 mV
o Ion and gm,max ↑ with # of NWs and ↓ L
Ambient, in isopropanol (IPA εr = 18), room temperature, native oxide as gate dielectric (SiO2 εr = 3.9)
23. E. Buitrago
Electrical Characterization
23
Wet Characterization: Asymmetric Gating VBG ,VSG
Ambient, in isopropanol (IPA εr = 18), room temperature, native oxide as gate dielectric (SiO2 εr = 3.9)
o SS improvement (~ 30%) and Vth shift towards lower values electrostatic
control enhancement by asymmetric gating through the liquid.
o α’ = (60 mV/dec)/SSmeasured for same tuning gate potential VSG = VBG = 0.5 V.
o Back-gate can more efficiently control NWs:
o Higher back-gate coupling efficiency: αBG’ = 0.8 vs. αSG’ = 0.6
o Lower Vth when back-gated (Vth = 1.6 V vs. 2.24 V when side/back-gating alone)
Vth =1.6 V
1.1 V
Vth = 2.24 V
0.113 V
24. E. Buitrago
Electrical Characterization
24
Wet Characterization: Symmetric Gating
Ambient, in Isopropanol (IPA εr = 18), room temperature, native oxide as gate dielectric (SiO2 εr = 3.9)
o The Vth is reduced but vs. asymmetric front-back gating SS does not change
(~ 5%) significantly.
o Higher back-gate efficiency Extra BOX layer capacitance when back-gated
in comparison to front-gate configuration:
o solution gate capacitance + native oxide capacitance + BOX layer capacitance
o Low leakage current through SG < 50 nA, VSG < 3 V
SS = 147 mV/dec
138 mV/dec
SS = 147 mV/dec
142 mV/dec
Vth = 2.08 V
Vth = 1.82 V
Vth = 2.08 V
Vth = 1.8 V
25. E. Buitrago
Electrical Characterization
25
Wet Characterization After High-κ ALD Dielectric
Ambient, in isopropanol (IPA εr = 18) native ox + t = 10 nm HfO2 (εr = 25) or Al2O3 εr = 15
o Degraded transistor performance for both HfO2 and Al2O3 SS and Vth ↑, Ion ↓.
o Direct deposition of dielectric on native oxide increased amount of dangling
bonds and charge trapping density at interphase.[1]
o Can be improved by thermal anneal in N2 atmosphere or higher quality oxide by
thermal oxidation,[1] not possible here (SU-8, Td ~ 380 °C).
HfO2 Al2O3
SiO2
SiO2
[1] Zhu et al. IEEE Electr. Device Lett. 2002, 23, 59.
26. E. Buitrago
Description Dimensions
Transistor
performance
Remarks Ref.
SiNW array
W = 50 nm
L = 10 μm
in PBS
Ion/Ioff = 105
SS = 100 mV/dec
Pseudo Ag/AgCl
electrode,
Kim et al. Analyst.
2011, 136, 5012.
FinFET array
W = 15 nm
H= 85 nm
L = 10 μm
in PBS
Ion/Ioff = 107
SS = 80 mV/dec
Pseudo Pt+Ag/AgCl local
electrode used
Rim et al. RSC
Advances. 2003, 3,
7963.
SiNW array
W = 100 nm – 1
μm
H = 55 nm
L = 3 μm
in PBS
SS = 85mV/dec
No or little hysteresis
observed,
RE used
Vu et al. Physica Status
Solidi (a). 2009, 426.
SiNW array
nano-gratings
W = 50 nm
H = 30 nm
L = 20 μm
in PBS
Ion/Ioff = 106
SS = 80 mV/dec
down to 65 mV/dec
Low device-to-device
variation due to high NW
density,
RE used
Regonda
et al. Biosens.
Bioelectron. 2013, 245.
SiNW 3D Array
dNW = 15-30 nm
L = 2 – 4 μm
Ion/Ioff > 106
SS ~ 100 mV/dec in IPA
down to 75 mV/dec
(when asymmetrically
gated)
SS ~ 87 mV/dec in PBS
Pt gate used
little hysteresis
< 15 mV
This work Buitrago
et al.
26
Sensing Experiments
State-of-Art Liquid Gated Transistor Performaces:
27. E. Buitrago
Aminosilanization:
o Surface treated in piranha to leave
hydroxyl-terminated (-OH) surfaces.
o Surface with silanol (Si–OH) and
amino groups can be protonated and
deprotonated for pH sensing,
APTES linker.
Biotinylation:
o Aminosilanized surfaces immersed in
biotin solution.
o Rinsed with PBS (phosphate buffered
saline) and DI-H2O and dried under N2.
Biotin-streptavidin one of strongest
binding interactions known in nature
Sensing Experiments
27
Surface Modification for Sensing
Aminosilanization with APTES for pH sensing, biotinylation for streptavidin sensing
APTES linker
28. E. Buitrago
Sensing Experiments
28
Fluid Delivery and Reference Electrode
Small channel dimensions minimize exposure, small analyte volumes needed for testing
PDMS stamp:
o 150 μm wide microfluidic channels.
o Contact access on sides of chip.
o Access holes (d ~ 400 μm) link to
external tubing & pump.
o Easy fabrication with SU-8 master mold.
o PDMS stamp-chip bond by “stamp and
stick” for strong, non-permanent bond,
no pretreatment.
Reference electrode (RE):
o Ag/AgCl RE integrated into PDMS flow
cell.
o Flow cell: 1 μL chamber at base
electrode.
o z
29. E. Buitrago
Sensing Experiments
29
Sensor Testing Set-up
o Microtech cascade probe station
and semiconductor device
parameter analyzer.
o Solutions delivered using screw
actuated syringe pump.
o Solution delivery rate: 100 μL/min.
o Different streptavidin solutions
(100 μL) injected separately into
main channel solution by use of a
T-junction within continuous PBS
flow.
o Liquid potential set by integrated
Ag/AgCl RE in flow cell.
Device being measured
PDMS
stamp
in
out
RE and
Flow
Cell
T-junction
streptavidin injection
RE and
Flow Cell
30. E. Buitrago
Sensing Experiments
30
pH Sensing, Id ‒ VRef with pH
APTES modified surfaces
o Excellent transistor characteristics:
o Low SS down to 85 mV/dec
dNW = 15 - 30 nm
o PBS pH = 7, εr = 80
o High Ion > 1 mA/μm
Dense array of NWs.
o High Ion/Ioff > 106
Low doped SOI substrate.
o ΔVth/pH ~ 50 mV/pH:
o Linear Vth/pH shift, no SS degradation
o Typical for APTES due to presence of both
amino and silanol groups with different
acid dissociation constants.[1]
Increasing pH values
[1] Cui et al. Science. 2001, 293, 1289.
31. E. Buitrago
Sensing Experiments
31
APTES modified surfaces
Subthreshold
strong
inversion
pH Sensing, Various Operation Regimes
ΔId/pH
dependent on
operation
regime
o Clear signal steps observed with pH.
o Avg. response time (time to achieve
90% of full response) t 𝑅 < 60 s.
o High quasi-exponential Id response
w/pH in subthreshold (VRef = 1.25 V)
ΔId/pH ~ 0.70 dec/pH.
o High linear Id response with pH at
higher current levels strong inversion
(VRef = 3 V) ΔId/pH ~ 12 μA/pH.
o Sensor response repeatable for full
range of operation.
subthreshold
Subthreshold
Moderateinversion
Stronginversion
32. E. Buitrago
Sensing Experiments
32
pH Sensing, increasing # of NWs (within same die)
APTES modified surfaces
o Drain current changes consistently vary with pH, Id levels increase as the
number of NWs increase.
o Sensor response among different devices within the same die is repeatable
for the full range of operation.
Subthreshold
strong
inversion
33. E. Buitrago
Sensing Experiments
33
pH Sensing, Repeatability
o Sensor still functional after 10 days.
o Reproducible measurements.
o High quasi-exponential Id response in subthreshold
(VRef = 1.25 V, Vd = 1 V) ΔId/pH ~ 0.8 dec/pH
o High linear Id response with pH above threshold
(VRef = 2 V, Vd = 50 mV) ΔId/pH ~ 5 μA/pH.
Subthreshold
Above
threshold
APTES modified surfaces, 10 days after first measurement, stored in ambient conditions
Subthreshold
Above
threshold
34. E. Buitrago
Sensing Experiments
34
pH Sensing, Robustness
o Sensor remains functional
after drop.
o Repeatable sensor responses
after 1 m drop.
o Non-ideal handling possible:
1. EPFL Fabrication
2. Tyndall surface modification
3. Imperial stamp bonding
4. Tyndall/EPFL sensor testing
Structures dropped 1 m away
from ground.
APTES modified surfaces
35. E. Buitrago
Description Dimensions
pH response
Transistor
performance
Remarks Ref.
SiNWs array
NA (pH = 2 – 9)
ΔId/pH = 100 nA/pH
APTES modified:
ΔVth/pH linear
Cui et al. Science. 2001,
293, 1289-1292.
SiNW array
nano-gratings
W = 50 nm
H = 30 nm
L = 20 μm
(pH = 2 – 9)
ΔId/pH = nA/pH
ΔVth/pH = 50 mV/pH
Ion/Ioff = 106
SS = 80 mV/dec
down to 65 mV/dec
APTES modified:
ΔVth/pH linear
Regonda
et al. Biosensors and
Bioelectronics. 2013, 245.
Single
trapezoidal
SiNWs
W = 50 nm
H = 25 nm
(pH = 6 – 8)
ΔId/pH ~ 0.82 – 1 dec/pH
Surface not
modified: High
operation voltages
used
Stern et al. Nature. 2007,
445, 519.
SiNW 3D
Array
dNW = 15-30 nm
L = 2 – 4 μm
(pH = 4 – 10)
ΔId/pH > μA/pH
ΔId/pH up to 0.8 dec/pH
ΔVth/pH = 50 mV/pH
Ion/Ioff > 106
SS ~ down to 85 mV/dec
APTES modified:
ΔVth/pH linear
Wide range of
operation pH =4-10.
This work Buitrago et al.
35
Sensing Experiments
SiNWs, State-of-Art pH Sensing
36. E. Buitrago
Sensing Experiments
36
Streptavidin Sensing
Biotinylated surfaces, 100 μL/min, 100 μL streptavidin solution injected within continuous PBS
stream, VRef = 1.5 V
~500 nA
streptavidin
injection
PBS
o Attomolar (~ 17 aM) streptavidin concentration measured.
o Id drops and threshold voltage increases consistently with
the streptavidin binding to the device (streptavidin protein
negatively charged at pH = 7.4).[1]
o As the streptavidin concentration increases consecutively
by a factor of 30 the drain current Id consistently decreases.
[1] Duan et al. Nat. Nano. 2012, 7, 401-407
37. E. Buitrago
Sensing Experiments
37
Protein Sensing, State-of-the-Art
Description
Substrate
fabrication
Dimensions
Concentration
Limits
Ref.
Single
trapezoidal SiNWs
SOI
Top down
W = 50 nm
H = 25 nm
Down to 10 fM
streptavidin
Stern et al. Nature. 2007, 445,
519-522
SiNWs
Array
Bulk Si
Bottom up
NA 10 pM
streptavidin
Cui et al. Science. 2001, 293,
1289-1292.
Si
nano-ribbon
SOI
Top down
W = 45-100 nm
H = 50 nm
L = 1.2 μm
10 fM
streptavidin
Elfstrom et al. Nano Lett. 2008, 8,
945-949.
Random SiNWs
Bulk Si
Bottom up
NA 15 fM biotin
Li et al., Biosens. Bioelectron.
2013, 45, 252-259.
Single SiNW
SOI
Top down
W = 1 μm
H = 45 nm
L = 10 μm
200 fM
streptavidin
Duan et al. Nat. Nano. 2012, 7,
401-407.
SiNW + electrodes for
electro-kinetic pre-
concentration
NA NA
aM cancer
protein PSA
Gong. Small. 2010, 6, 967-973.
SiNW 3D Array
SOI
Top down
dNW = 15-30 nm
L = 2 - 4 μm
Down to 17
aM
streptavidin
This work Buitrago et al.
38. E. Buitrago
Sensing Experiments
38
Vertially Stacked SiNWs on Bulk Si
Low cost alternative to SOI-based sensor with potential
o Low subthreshold slopes SS ~ 160 mV/dec.
o High Ion/Ioff > 3x104
o Parasitic FET (equivalent structure with
destroyed NWs) does not dominate
transistor characteristics.
o Ioff still dominated by leakage current
passing through the parasitic MOSFET.
o Stack more NWs than implantation can
reach. NWs themselves would act as
resistive paths for electron conduction.
39. E. Buitrago
Sensing Experiments
39
SiNWs on Bulk Si, State-of-Art Liquid Gated,
Top-Down Fabrication Approach
Description Dimensions
Transistor
performance
Remarks Ref.
Fin Array
H = 65 – 120
nm
W = 18 – 40 nm
L = 8 – 12 μm
SS ~ 300 mV/dec
Ion/Ioff ~ 104
Ioff ~ 0.1 nA
-Local SOI by “Spacers
Technology”
Rigante et al. ULIS,
Coventry, 2013, 73-76
Single SiNW NA
SS ~ 400 mV/dec
Ion/Ioff ~ 104
Ioff ~ pA
-Channel-stop implantation
to suppress leakage current
-Shallow trench isolation
oxide
Ahn et al. IEEE Trans.
Electron. Devices. 2012,
59, 2243-2249
Polysilicon
NW
W = 40 nm
L = 10 μm
SS = 450 mV/dec
Ion/Ioff > 105
Ioff ~ pA
-thin film process, SiN and
SiO2 isolation layers
Chen et al. Jpn. J. Appl.
Phys. 2011, 50.
Vertically
stacked
SiNWs
dNW = 15 – 30
nm
L = 10 μm
SS = 160 mV/dec
Ion/Ioff > 3 × 104
Ioff ~ 20 nA
-No particular isolation
strategy
This work, Buitrago et al.
No active isolation strategy to suppress leakage current or
isolate of SiNWs/Fins from the bulk
40. E. Buitrago
o Successfully fabricated 3D vertically stacked SiNW FET for
biosensing applications.
o High density array (up to 8 x 20) with ultra-small SiNW
diameters (down to dNW ~ 15 ‒ 30 nm), long (up to L = 5 μm)
robust structures with TSV compatible process.
o Devices have excellent transistor characteristics when liquid
gated.
o High pH sensing responses up to 0.8 dec/pH subthreshold and
> μA/pH in strong inversion.
o Attomolar streptavidin concentrations measured.
o Bulk vertically stacked FET efficiently demonstrated.
Conclusions and Perspectives
40
Conclusions
41. E. Buitrago
o EPFL: A. M. Ionescu, M. Fernandez-Bolaños,
N. Berthaut, X. Van Kooten.
TNI: O. Lotty, R. Yu, J. D. Holmes, Y. Georgiev,
G. Fagas
Imperial College: A. M. Nightingale
o Semiconducting Nanowire Platform for
Autonomous Sensors SiNAPS FP7 European
Project.
o FP7 Integrated project e-BRAINS European
Project.
o Fabrication Center of Micro and
Nanotechnology (CMi) at EPFL.
Acknowledgments
41
IC Device 1 (Technology 1)
MetallisationSystem 1
IC Device 2 (Technology 2) with TSV
MetallisationSystem 2
IC Device 2 (Technology 2) with TSV
MetallisationSystem 2Metallisation
MEMS/NEMS Device
possibly with TSV
Cap -Chip (Wafer)
MEMS/NEMS Device
possibly with TSV
Cap -Chip (Wafer)
MEMS/NEMS Device
possibly with TSV
Cap -Chip (Wafer)
e-BRAINS
People, Funding and Fabrication Facilities
43. E. Buitrago
o Anisotropic etch Vertical wall
definition
o C4F8 passivation step (side wall protection)
o Anisotropic vertical etch (SF6/C4F8 mixed flow)
o O2 polymer removal (bottom trench/scallop)
o SF6 isotropic etch (scallop formation)
o Thermal oxidation Fin formation
o BHF oxide removal Fin release
o H2O, IPA rinse, N2 dry
Fabrication
43
Optimization of Short-Loop Fin
Fabrication Process
44. E. Buitrago 44
Fin Structuration
Back-up Slides: Fin Structuration
First Generation:
Vertical walls: BOSCH
Fin Separation: Passivation C4F8
+ isotropic SF6
Second Generation
Vertical walls: anisotropic
C4F8/ SF6 mixed flow
Fin Separation: Passivation C4F8
+ isotropic SF6
Third Generation
Vertical walls: anisotropic
C4F8/ SF6 mixed flow
Fin Separation: Passivation C4F8+ O2 plasma +
isotropic SF6
o High process variability: e-beam, dry etch
o Thin fins possible 20 x 200 nm
o Scallop shape limits height length to 200 nm
o Achieving fin to fin vertical uniformity is a challenge
Need sharp round
scallop to
reduce droplet
shape
45. E. Buitrago
Back-up Slides: Vertically Stacked
45
Vertically Stacked SiNWs
Fabrication approach: BOSCH + thermal oxidation
Doherty et al., ISCAS, 2003, 934. (Berkeley, USA)
As sacrificial molds for nanofluidic channels
For biospecimen sorting and
filtering by varying vertical wire
separation along a channel
46. E. Buitrago 46
Ferain et al., Nature. 2011, 479.
Types of Multigate MOSFETs
Back-up Slides: Multigate
SOI-FinFET
Gate control
From lateral sides
SOI-tri-gated SiNW
gate control from 3
sides
SOI Π-gate
gate control improved
electric field from sides
exerts control on bottom too
SOI Ω-gate
gate control improved
electric field from sides
exerts control on bottom
too
SOI-GAA
Gate control from 4
sides ultimate
arquitecture
Bulk tri-gate