SlideShare a Scribd company logo
1 of 22
Download to read offline
Elizabeth Buitrago1, O. Yildirim2, R. Fallica1, Andreas Frommhold3, C. Verspaget2, N. Tsugama2,
R. Hoefnagels2, G. Rispens2, M. Meeuwissen2 M. Vockenhuber1 and Y. Ekinci1
1Paul Scherrer Institute, Switzerland
2ASML, Netherlands
3University of Birmingham, UK
The road towards single digit nanometer resolution
patterning in mass production: State-of-the-art EUV
resists platforms
Outline
 EUV Interference lithography
 XIL-II: EUV-IL tool at PSI
 Diffraction grating mask fabrication
 EUV resist challenges
 State-of-the-art resist platforms:
 Positive tone organic chemically amplified resist (CAR)
 Negative tone Sn-based resist
 Negative tone chemically amplified molecular resist
 Conclusions
Slide 2
EUV-IL
XIL-II beamline at Swiss Light Source (SLS):
 EUV lithography: 13.5 nm wavelength
 Undulator source:
 Spatially coherent beam
 Temporal coherence: Δλ/λ=4%
 Diffractive transmission gratings written
with EBL on S3N4 membranes (~100 nm)
 Diffracted beams interfere
 Interference pattern printed in resist
Slide 3  m
g
p
2sin2


p: period on wafer
g: grating period on mask
m: diffraction order
Advantages of EUV-IL
 Stable source: Swiss light synchrotron source (SLS)
 Stable interferometer
 Infinite depth of focus: Mask-to-wafer (0.3-10 mm)
 High resolution:
 Theoretical limit = 3.5 nm
 Current limit = 7 nm modulation down to 6 nm
 Limited by resists and mask writing/quality
 Well defined image: pitch independent areal image
 Large area for cross-section analysis
 Low-cost technique for resist testing
Slide 4
Large Scale Facility with Nanotechnology Infrastructure
Slide 5
Swiss Light Source Laboratory for Micro and Nanotechnology
XIL-II: EUV-IL@SLS
XIL-II: EUV-IL @ PSI
Slide 6
On-site clean room:
 Spin-coater, wet-bench, hot-plates, microscope,
developer, optical thickness measurement
 In clean room environment with amine filters.
Control
room
Process
room
Exposure
room
Mask Fabrication
Slide 7
 direct patterning
 two lithography steps
 relatively fast, simple process
Silicon nitride membrane, 100 nm
Electron beam lithography HSQ gratings
Masking gratings with PMMA
Cr/Au seed evaporation and liftoff
Au electroplating of photon-stop
Si Si3
N4
HSQ PMMA Au
(Fallica et al., MNE 2015)Mask: 11, 12, 13, 14, 16, 18 nm HPs
EUV Chemically Amplified Resist (CAR)
Challenges-Future
 Resolution (R, HP in nm), line width roughness (LWR, 3σ in nm) and sensitivity
(S, dose in mJ/cm2) cannot be improved simultaneously
 RLS trade-off
Higher photon density  better LWR  high dose (S)
Small Blur  better resolution (R)  high dose (S)
Larger Blur  lower roughness (L)  loss of resolution (R)
 Low power EUV sources  high sensitivity resists required to get high throughput
 CARs research and development still dominate, impending need for further R&D and
exploration of state-of-art resist platform alternatives
 XIL  powerful method in development of EUV resists (CAR and non-CAR)
 Different state-of-art EUV resists platforms tested under same process conditions (Mask, UL, resist
thickness, etc., when possible)
Slide 8
Slide 9
Different CAR Resists Compared, HP= 16 nm
HP=16nm-UL1(15nm thk), R1(20nm thk)
HP=16nm-UL1(15nm thk), R2(25nm thk)
HP=16nm-UL1(15nm thk), R3(25nm thk)
HP=16nm-UL1(15nm thk), R1(25nm thk)
29.8mJ/cm2 32.7mJ/cm2 34.5mJ/cm2 36.0mJ/cm2 37.9mJ/cm2 39.6mJ/cm2 41.7mJ/cm2 43.5mJ/cm2 47.8mJ/cm2
36.4mJ/cm2 38.1mJ/cm2 40.0mJ/cm2 41.9mJ/cm2 44.0mJ/cm2 46.1mJ/cm2 48.4mJ/cm2 50.7mJ/cm2
19.4mJ/cm2 20.2mJ/cm2 21.4mJ/cm2 22.3mJ/cm2 23.5mJ/cm2 24.5mJ/cm2 25.8mJ/cm2 26.9mJ/cm2
34.6mJ/cm2 36.2mJ/cm2 38.0mJ/cm2 39.8mJ/cm2 43.8mJ/cm2 46mJ/cm2 50.6mJ/cm248.1mJ/cm2
 High exposure latitude (EL) for both HP 16 and
18 nm ≥ 24% for all CAR resists
 Comparable Z-factors @ 25 nm  LRS trade-off
(figure of merit)
 UL1R3 has smallest BE = 21mJ/cm2
Name
BE
(mJ/cm2) EL (%)
LWR
(nm) z-factor
UL1R1-25nm 38.4 34.1 6.6 3.4E-08
UL1R1-20nm 43.4 27.4 8.7 6.7E-08
UL1R2-25nm 43.0 24.0 6.4 3.6E-08
UL1R3-25nm 21.0 26.5 6.2 1.6E-08
𝑍 = 𝐵𝐸 × 𝐿𝐸𝑅 2
× 𝐻𝑃 3
Slide 9
CARs 14 and 13 nm HP comparison
Slide 10
HP=13nm-UL1(15nm thk), R1(20nm thk)
HP=13nm-UL1(15nm thk), R2(25nm thk)
HP=13nm-UL1(15nm thk), R3(25nm thk)
HP=13nm-UL1(15nm thnk), R1(25nm thk)
36.6mJ/cm2 38.3mJ/cm2 40.3mJ/cm2 42.1mJ/cm2
37mJ/cm2 38.8mJ/cm2 42.7mJ/cm2 47mJ/cm2
20.7mJ/cm2 21.6mJ/cm2 22.8mJ/cm2
44mJ/cm2
35mJ/cm2 36.9mJ/cm2 38.4mJ/cm2 40.5mJ/cm2
 Well resolved patterning down to 13 nm for all CARs.
 Small EL ≥ 4.5% @ HP14 for all highly performing CARs
tested and up to 9.7% (UL1R3)
 UL1R3 has smallest BE = 22.6mJ/cm2, low LWR (6.7nm)
and high EL down to 14 nm HP (9.7%)
 Small EL (3-6%) @ HP13 nm for all except for UL1R3
due to significant pinching, necking and pattern collapse.
 UL1R1 @ 20 nm thickness has high EL≥6.5% for
HP=13nm but LWR is high = 11.3 nm
 Elevated LWR values also due to bad SEM contrast
extremely thin resist layers
Name HP
BE
(mJ/cm2) EL (%)
LWR
(nm) z-factor
UL1R1-25nm 14 40.1 4.0 7.5 3.1E-08
UL1R1-20nm 14 43.5 8.7 9.6 5.6E-08
UL1R2-25nm 14 44.4 4.5 7.1 3.0E-08
UL1R3-25nm 14 22.6 9.7 6.7 1.4E-08
UL1R1-25nm 13 47.5 3.2 7.9 3.2E-08
UL1R1-20nm 13 58.2 6.5 11.3 8.1E-08
UL1R2-25nm 13 64.5 6.1 8.6 5.2E-08
UL1R3-25nm 13 24.8 0 6.1 1.0E-08
11nm HP, different CARs- Ultimate resolution
Slide 11
HP=11nm-UL1(15nm thk), R1(20nm thk)
HP=11nm-UL1(15nm thk), R2(25nm thk) HP=11nm-UL1(15nm thk), R3(25nm thk)
HP=11nm-UL1(15nm thk), R1(25nm thk)
56.7mJ/cm251.2mJ/cm2
40.8mJ/cm2 30.4mJ/cm2
 All resists @ 25 nm thickness
are resolved with some
pattern collapse and bridging
down to 11 nm HP.
 UL1R1 @ 20 nm thickness
only modulation can be seen
at the dose range tested
 Patten collapse still limits
resolution and EL for CARs
Negative tone Chemically Amplified
Molecular Resist: xMT
Slide 12
 Resin: Polymer matrix with functional
side-groups, the bulk of the resist
 Photo acid generator (PAG):
photoactive compounds that produce
an acid product after interaction with
secondary electrons/photons
 Quencher: base neutralizes acid,
improves contrast of the resist (~2%
of PAG)
 Solvent: ~90% removed by bake
 Crosslinker: to form crosslinks with
the xMT molecule as it cannot do this
by itself. Historically this comes from
previous fullerene-based resists that
are very hard to functionalize with
epoxies
(Frommhold et al., SPIE 2015)
 Cleaner formulation process, does not require extensive purification
processes to obtain good resists in comparison to Fullerene based resist.
Molecular Resin Crosslinker
PAG Quencher
xMT-0614:
0.2:2:1 xMT:CL06-14:TPS SbF6 PAG + 5% Quencher
Slide 13
PAG QuencherMolecular Resin (xMT) CL06-14
TPS SbF6: triphenyl sulfonium hexafluoroantimonate
xMT-0801:
0.2:2:1 xMT:CL08-01:TPS SbF6 PAG + 5% Quencher
Molecular Resin (xMT) CL08-01 PAG Quencher
(Frommhold et al., SPIE 2015)
2 different crosslinker (CL) molecules tested, same mixing ratio
HP=16nm-Carbon Underlayer(15nm thk)-xMT-0801(25nm thk)
HP=16nm-Carbon Underlayer(15nm thk)-xMT-0614(25nm thk)
Slide 14
Molecular Resists (xMT) compared HP=16 nm
34.3mJ/cm2 35.9mJ/cm2 37.3mJ/cm2 39.2mJ/cm2 40.7mJ/cm2 42.7mJ/cm2 44.4mJ/cm2 52.7mJ/cm230.3mJ/cm2
26.4mJ/cm2 28.8mJ/cm2 29.8mJ/cm2 31.4mJ/cm2 32.5mJ/cm2 34.2mJ/cm2 35.4mJ/cm2 38.6mJ/cm2 42.1mJ/cm2
 Both xMT materials show well resolved line-spaces down to 16 nm HP.
 High exposure latitude (EL) for HP 16 and 18 nm 15% > for both xMT
resists. LWRs as low as 3.1 nm.
 xMT-0801 shows low best energy (BE or dose-to-size) ~ 26.6 mJ/cm2
for 16 nm HP. LWRs, overall comparable.
Name
BE
(mJ/cm2) EL (%) LWR (nm) z-factor
xMT-0614 32.1 17.6 4.3 1.5E-08
xMT-0801 26.6 23.6 5.3 1.2E-08
11nm12nmHP=14nm
Carbon Underlayer(15nm thk)-xMT-0801(25nm thk)
Carbon Underlayer(15nm thk)-xMT-0614(25nm thk)
Slide 15
Molecular Resists (xMT) compared HP=14 nm and below
 xMT-0614 shows well resolved line-spaces down to 12 nm HP with slight
bridging and pattern collapse.
 xMT-0801 can resolve down to 12nm HP as well but has prevalent pattern
collapse even at 14 nm HP
 xMT-0801 has still lower BE @ 25.9 mJ/cm2 @ 14 nm HP.
 No EL <16 nm HP for either due to bridging and pattern collapse
 High potential to expand EL down to 11 nm HP features, pattern mitigation
strategy needs to be explored
 Great potential for high resolution patterning @ 13 nm HP and below!
13nm
39.5mJ/cm2
11nm
43.2mJ/cm2
12nm
34.9mJ/cm2
30.2mJ/cm2
HP=14nm
13nm
30.9mJ/cm2 41mJ/cm2
36mJ/cm2
Name HP
BE
(mJ/cm2)
LWR
(nm) z-factor
xMT-0614 14 33.4 4.8 1.3E-08
xMT-0801 14 25.9 6.1 1.1E-08
xMT-0614 13 32.5 5.0 8.5E-08
xMT-0801 13 42.7 4.7 1.0E-08
xMT-0614 12 45.2 7.2 2.1E-08
xMT-0801 12 42.9 7.3 2.0E-08
31.6mJ/cm2
Sn-based Resist
Slide 16
Inpria YA
negative tone
organo-oxo molecule
stable after exposure
Sn-based
forms SnO2
high absorption (Sn)
L* = radiation sensitive
ligand
(Fallica et al., MNE 2015)
Sn-based Resist- 16 and 14 nm HP
Slide 17
HP=16nm-YA(25nm thk)
HP=16nm-YA(32nm thk)
30mJ/cm2 35.6mJ/cm2 38.8mJ/cm2 42.3mJ/cm2 46.1mJ/cm2 54.8mJ/cm2 59.7mJ/cm2 70.9mJ/cm2 77.3mJ/cm2
38.6mJ/cm2 42.0mJ/cm2 45.7mJ/cm2 49.8mJ/cm2 54.2mJ/cm2 59.0mJ/cm2 64.2mJ/cm2 70.0mJ/cm2 76.2mJ/cm2
Two different thicknesses of same resist tested (25, 32 nm).
 Super high EL >30% down to 14 nm HP nm for thin resist, EL
decreases to ~13.8% for thick resist @ 14 nm HP
 BE increases (54.969 mJ/cm2 @ 16 nm HP) with thickness.
 But LWR decreases drastically (2.91.4 nm @ 16 nm HP)with
increasing thickness (as expected).
ThicknessHP
BE
(mJ/cm2) EL (%)
LWR
(nm) z-factor
25 nm 16 54.9 31.3 2.9 9.3E-09
32 nm 16 68.9 31.4 1.4 2.8E-09
25 nm 14 67.3 31.8 3.0 8.5E-09
32 nm 14 75.2 13.8 1.7 3.0E-09
Sn-based Resist-ultimate resolution
 EL reduced to 11.8% for HP 13 nm (25 nm thickness), super high
for this HP.
 12 and 11 nm HPs are well resolved and even small EL ~2% is
possible @ 25 nm thickness for 12 nm HP
 Even @ 32 nm thickness 12 and 11 nm HP also look very
promising but pattern collapse limits the EL.
Slide 18
HP=12nm-YA(25nm thk) HP=11nm-YA(25nm thk)
HP=12nm-YA(32nm thk) HP=11nm-YA(32nm thk)
74.2mJ/cm258.0mJ/cm2 63.2mJ/cm2 68.8mJ/cm2 74.9mJ/cm2
58.1mJ/cm2 63.3mJ/cm2 69.0mJ/cm2 75.2mJ/cm245.4mJ/cm2 49.4mJ/cm2 53.9mJ/cm2 58.7mJ/cm2 64.0mJ/cm2 69.7mJ/cm2 76.0mJ/cm2
ThicknessHP
BE
(mJ/cm2) EL (%)
LWR
(nm) z-factor
25 nm 13 77.7 11.8 3.3 9.3E-09
32 nm 13 85.0 4.1 1.5 2.0E-09
25 nm 12 86.8 2.4 3.6 9.7E-09
 Sn-based resist can be
resolved down to 10 nm HP
with minimum pattern collapse
@ 22 nm thickness.
 Thickness reduction is feasible
for this resist due to superior
etch resistance.
 Low LWR and high EL at HPs ≤
14 nm makes this resist very
interesting for future high
volume manufacturing needs.
Slide 19
Sn-based Resist-ultimate resolution, 10 nm HP
HP=10nm-YA(22nm thk)
* Different mask used for this exposure
 Z-factor used as global resist performance figure of merit, measure of the RLS
trade-off-relationship
 Each state-of-the-art resist platform shows different high performance
characteristics: BE, EL, LWR, R, z-values comparable for all resists
Slide 20
Z-factors compared for different state-of-art resist platforms @
different HPs
𝑍 = 𝑆𝑒𝑛𝑠𝑖𝑡𝑖𝑣𝑖𝑡𝑦, 𝐵𝐸 × 𝐿𝐸𝑅 2 × 𝐻𝑃 3
Constant Z intersecting smallest Z per HP
 Patterning down to 11 nm and 10 nm HP can be done, several resist platforms need to
be further explored for HVM.
 EUV photons can do it
 EUV-sensitive materials available (CAR and non-CAR)
 Tools available for testing
 Each state-of-the-art resist platform shows different high performance characteristics, z-
values comparable for all resists
 CAR: UL1R3 (25 nm thk) resist demonstrated to be highly performing with high EL > 9.7%, low BE=22.6
mJ/cm2 and low LWRs ~ 6.7 nm down to 14 nm HP.
 Sn-based resist (25 nm thk) also high performing with super high EL down to 13 nm ~ 11.8%, and low LWR
~ 3.3 nm
 xMT resist with further optimization to widen EL has potential for 14 and 13 nm HP, has low LWR~5nm and
low BE ~ 35 mJ/cm2
 Pattern collapse still limiting performance of most resists research ongoing.
 Sn-based resist pattern collapse can be mitigated by use of thinner resist due to superior
etch resistance
Conclusions
Slide 21
Acknowledgments
Slide 22
XIL-II team
Members of LMN and SLS
Collaborators
http://www.psi.ch/sls/xil
We thank all of our resist and underlayer suppliers
Thank you for your attention!

More Related Content

What's hot

HDR Vincent Agache Defense
HDR Vincent Agache DefenseHDR Vincent Agache Defense
HDR Vincent Agache Defense
vince3859
 
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
John Petersen
 
20140913 - Multi-Color Lithography Assessment by Simulation for posting
20140913 - Multi-Color Lithography Assessment by Simulation for posting20140913 - Multi-Color Lithography Assessment by Simulation for posting
20140913 - Multi-Color Lithography Assessment by Simulation for posting
John Petersen
 
Pcb carolina scg_2010
Pcb carolina scg_2010Pcb carolina scg_2010
Pcb carolina scg_2010
tcoyle72
 
Samer najjar fiber optic leak detection
Samer najjar   fiber optic leak detectionSamer najjar   fiber optic leak detection
Samer najjar fiber optic leak detection
Ramy Saboungui
 
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
ViPra135
 

What's hot (20)

08 lill ipv stuttgart
08 lill ipv stuttgart08 lill ipv stuttgart
08 lill ipv stuttgart
 
Cleaning Art Objects By Laser
Cleaning Art Objects By LaserCleaning Art Objects By Laser
Cleaning Art Objects By Laser
 
Application of FT-IR to Studies of Surfactant Behavior
Application of FT-IR to Studies of Surfactant BehaviorApplication of FT-IR to Studies of Surfactant Behavior
Application of FT-IR to Studies of Surfactant Behavior
 
HDR Vincent Agache Defense
HDR Vincent Agache DefenseHDR Vincent Agache Defense
HDR Vincent Agache Defense
 
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
20140211 - Paper 9052-6 Next-generation multi-wavelength lithography Printout
 
3 experimental wideband_characterization_of_a parallel-plate_capacitor
3 experimental wideband_characterization_of_a parallel-plate_capacitor3 experimental wideband_characterization_of_a parallel-plate_capacitor
3 experimental wideband_characterization_of_a parallel-plate_capacitor
 
20140913 - Multi-Color Lithography Assessment by Simulation for posting
20140913 - Multi-Color Lithography Assessment by Simulation for posting20140913 - Multi-Color Lithography Assessment by Simulation for posting
20140913 - Multi-Color Lithography Assessment by Simulation for posting
 
Pcb carolina scg_2010
Pcb carolina scg_2010Pcb carolina scg_2010
Pcb carolina scg_2010
 
International Montoro Resources (IMT-TSXV) ZTEM modelling of Pecors buried ma...
International Montoro Resources (IMT-TSXV) ZTEM modelling of Pecors buried ma...International Montoro Resources (IMT-TSXV) ZTEM modelling of Pecors buried ma...
International Montoro Resources (IMT-TSXV) ZTEM modelling of Pecors buried ma...
 
ZTEM 2D Synthetic Modelling - Pecors Magmatic Massive Sulphide Target - Power...
ZTEM 2D Synthetic Modelling - Pecors Magmatic Massive Sulphide Target - Power...ZTEM 2D Synthetic Modelling - Pecors Magmatic Massive Sulphide Target - Power...
ZTEM 2D Synthetic Modelling - Pecors Magmatic Massive Sulphide Target - Power...
 
Patented way to create Silicon Controlled Rectifiers in SOI technology
Patented way to create Silicon Controlled Rectifiers in SOI technology Patented way to create Silicon Controlled Rectifiers in SOI technology
Patented way to create Silicon Controlled Rectifiers in SOI technology
 
14 burgers ecn
14 burgers ecn14 burgers ecn
14 burgers ecn
 
Samer najjar fiber optic leak detection
Samer najjar   fiber optic leak detectionSamer najjar   fiber optic leak detection
Samer najjar fiber optic leak detection
 
11 ebinger fh g ise
11 ebinger fh g ise11 ebinger fh g ise
11 ebinger fh g ise
 
1 f clamp data sheet 635150146240747612
1 f clamp data sheet 6351501462407476121 f clamp data sheet 635150146240747612
1 f clamp data sheet 635150146240747612
 
Patented solution to improve ESD robustness of SOI MOS transistors
Patented solution to improve ESD robustness of SOI MOS transistorsPatented solution to improve ESD robustness of SOI MOS transistors
Patented solution to improve ESD robustness of SOI MOS transistors
 
MNR & Anti MNR In Conductivity Of Highly Crystallized Undoped Microcrystallin...
MNR & Anti MNR In Conductivity Of Highly Crystallized Undoped Microcrystallin...MNR & Anti MNR In Conductivity Of Highly Crystallized Undoped Microcrystallin...
MNR & Anti MNR In Conductivity Of Highly Crystallized Undoped Microcrystallin...
 
Pcb calculator
Pcb calculatorPcb calculator
Pcb calculator
 
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
Vipin_Prajapati_Fully Printed Carbon Nanotube Thin-Film Transistors for Press...
 
06 weeber ecn
06 weeber ecn06 weeber ecn
06 weeber ecn
 

Viewers also liked

ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1
Carlo Fanara
 
Double Patterning
Double PatterningDouble Patterning
Double Patterning
Danny Luk
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
168report
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concern
vein
 
Masked ion beam lithography
Masked ion beam lithographyMasked ion beam lithography
Masked ion beam lithography
Ramya Kannan
 
Plasma science and applications 2013
Plasma science and applications 2013Plasma science and applications 2013
Plasma science and applications 2013
Sergey Korenev
 
Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clg
ARUNASUJITHA
 

Viewers also liked (20)

Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIXStellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
Stellar and laboratory XUV/EUV line ratios in Fe XVIII and Fe XIX
 
ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1ListOfTechProjsWITHSphinxV1
ListOfTechProjsWITHSphinxV1
 
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 ÅPlasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
Plasma diagnostic in eruptive prominences from SDO/AIA observations at 304 Å
 
Double Patterning
Double PatterningDouble Patterning
Double Patterning
 
Professor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable careerProfessor Dame Carole Jordan: a remarkable career
Professor Dame Carole Jordan: a remarkable career
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
06 light management by nano materials-huis in t veld, kriya materials
06 light management by nano materials-huis in t veld, kriya materials06 light management by nano materials-huis in t veld, kriya materials
06 light management by nano materials-huis in t veld, kriya materials
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
 
Public Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference SingaporePublic Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference Singapore
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concern
 
Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010Public Presentation, ASML EUV forecast Jul 2010
Public Presentation, ASML EUV forecast Jul 2010
 
Plasma physics by Dr. imran aziz
Plasma physics by Dr. imran azizPlasma physics by Dr. imran aziz
Plasma physics by Dr. imran aziz
 
Masked ion beam lithography
Masked ion beam lithographyMasked ion beam lithography
Masked ion beam lithography
 
Analog and Digital VLSI Design Notes - Akshansh
Analog and Digital VLSI Design Notes - AkshanshAnalog and Digital VLSI Design Notes - Akshansh
Analog and Digital VLSI Design Notes - Akshansh
 
Double gate mosfet
Double gate mosfetDouble gate mosfet
Double gate mosfet
 
finfet & dg-fet technology
finfet & dg-fet technologyfinfet & dg-fet technology
finfet & dg-fet technology
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
Plasma science and applications 2013
Plasma science and applications 2013Plasma science and applications 2013
Plasma science and applications 2013
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process
 
Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clg
 

Similar to S5.2_Buitrago

The Dielectric Relaxation Properties And Dipole Ordering...
The Dielectric Relaxation Properties And Dipole Ordering...The Dielectric Relaxation Properties And Dipole Ordering...
The Dielectric Relaxation Properties And Dipole Ordering...
Sarah Gordon
 
Dye sensitized solar cells
Dye sensitized solar cellsDye sensitized solar cells
Dye sensitized solar cells
saromemarzadeh
 
Synthesis and characterisation of k doped zno 1
Synthesis and characterisation of k doped zno 1Synthesis and characterisation of k doped zno 1
Synthesis and characterisation of k doped zno 1
Jeslin Mattam
 
Research Background
Research BackgroundResearch Background
Research Background
Brian Wisner
 
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
MohammedDanish87
 
Baevsky_Liberzon_ICME2015_v2
Baevsky_Liberzon_ICME2015_v2Baevsky_Liberzon_ICME2015_v2
Baevsky_Liberzon_ICME2015_v2
Mark Baevsky
 
Ippen nes osa 9-15-11
Ippen nes osa 9-15-11Ippen nes osa 9-15-11
Ippen nes osa 9-15-11
nishmoh
 

Similar to S5.2_Buitrago (20)

The Dielectric Relaxation Properties And Dipole Ordering...
The Dielectric Relaxation Properties And Dipole Ordering...The Dielectric Relaxation Properties And Dipole Ordering...
The Dielectric Relaxation Properties And Dipole Ordering...
 
Dye sensitized solar cells
Dye sensitized solar cellsDye sensitized solar cells
Dye sensitized solar cells
 
Microstrip antennas
Microstrip antennasMicrostrip antennas
Microstrip antennas
 
05_pitra.pdf
05_pitra.pdf05_pitra.pdf
05_pitra.pdf
 
Synthesis and characterisation of k doped zno 1
Synthesis and characterisation of k doped zno 1Synthesis and characterisation of k doped zno 1
Synthesis and characterisation of k doped zno 1
 
Pushkar N Patil
Pushkar N PatilPushkar N Patil
Pushkar N Patil
 
Design and simulation of broadband rectangular microstrip antenna
Design and simulation of broadband rectangular microstrip antennaDesign and simulation of broadband rectangular microstrip antenna
Design and simulation of broadband rectangular microstrip antenna
 
Design and simulation of broadband rectangular microstrip antenna
Design and simulation of broadband rectangular microstrip antennaDesign and simulation of broadband rectangular microstrip antenna
Design and simulation of broadband rectangular microstrip antenna
 
ACS CERM Presentation
ACS CERM PresentationACS CERM Presentation
ACS CERM Presentation
 
AFM talk ASAS 10dec2015 Jenny to publish.pptx
AFM talk ASAS 10dec2015 Jenny to publish.pptxAFM talk ASAS 10dec2015 Jenny to publish.pptx
AFM talk ASAS 10dec2015 Jenny to publish.pptx
 
Research Background
Research BackgroundResearch Background
Research Background
 
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
Literature Review of Microstrip Patch Antenna Design with Rectangular Patch f...
 
Design of 12-14.1 GHz Bandpass Filter with Stub Loaded
Design of 12-14.1 GHz Bandpass Filter with Stub LoadedDesign of 12-14.1 GHz Bandpass Filter with Stub Loaded
Design of 12-14.1 GHz Bandpass Filter with Stub Loaded
 
91 92. june 9 overview febex-dp-and_ufd r&amp;d activities related to febex-d...
91 92. june 9 overview febex-dp-and_ufd r&amp;d activities related to febex-d...91 92. june 9 overview febex-dp-and_ufd r&amp;d activities related to febex-d...
91 92. june 9 overview febex-dp-and_ufd r&amp;d activities related to febex-d...
 
Presentation1.pptx
Presentation1.pptxPresentation1.pptx
Presentation1.pptx
 
In-situ TEM studies of tribo-induced bonding modification in near-frictionles...
In-situ TEM studies of tribo-induced bonding modification in near-frictionles...In-situ TEM studies of tribo-induced bonding modification in near-frictionles...
In-situ TEM studies of tribo-induced bonding modification in near-frictionles...
 
Metallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cellsMetallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cells
 
Bandwidth enhancement patch antenna
Bandwidth enhancement patch antennaBandwidth enhancement patch antenna
Bandwidth enhancement patch antenna
 
Baevsky_Liberzon_ICME2015_v2
Baevsky_Liberzon_ICME2015_v2Baevsky_Liberzon_ICME2015_v2
Baevsky_Liberzon_ICME2015_v2
 
Ippen nes osa 9-15-11
Ippen nes osa 9-15-11Ippen nes osa 9-15-11
Ippen nes osa 9-15-11
 

S5.2_Buitrago

  • 1. Elizabeth Buitrago1, O. Yildirim2, R. Fallica1, Andreas Frommhold3, C. Verspaget2, N. Tsugama2, R. Hoefnagels2, G. Rispens2, M. Meeuwissen2 M. Vockenhuber1 and Y. Ekinci1 1Paul Scherrer Institute, Switzerland 2ASML, Netherlands 3University of Birmingham, UK The road towards single digit nanometer resolution patterning in mass production: State-of-the-art EUV resists platforms
  • 2. Outline  EUV Interference lithography  XIL-II: EUV-IL tool at PSI  Diffraction grating mask fabrication  EUV resist challenges  State-of-the-art resist platforms:  Positive tone organic chemically amplified resist (CAR)  Negative tone Sn-based resist  Negative tone chemically amplified molecular resist  Conclusions Slide 2
  • 3. EUV-IL XIL-II beamline at Swiss Light Source (SLS):  EUV lithography: 13.5 nm wavelength  Undulator source:  Spatially coherent beam  Temporal coherence: Δλ/λ=4%  Diffractive transmission gratings written with EBL on S3N4 membranes (~100 nm)  Diffracted beams interfere  Interference pattern printed in resist Slide 3  m g p 2sin2   p: period on wafer g: grating period on mask m: diffraction order
  • 4. Advantages of EUV-IL  Stable source: Swiss light synchrotron source (SLS)  Stable interferometer  Infinite depth of focus: Mask-to-wafer (0.3-10 mm)  High resolution:  Theoretical limit = 3.5 nm  Current limit = 7 nm modulation down to 6 nm  Limited by resists and mask writing/quality  Well defined image: pitch independent areal image  Large area for cross-section analysis  Low-cost technique for resist testing Slide 4
  • 5. Large Scale Facility with Nanotechnology Infrastructure Slide 5 Swiss Light Source Laboratory for Micro and Nanotechnology XIL-II: EUV-IL@SLS
  • 6. XIL-II: EUV-IL @ PSI Slide 6 On-site clean room:  Spin-coater, wet-bench, hot-plates, microscope, developer, optical thickness measurement  In clean room environment with amine filters. Control room Process room Exposure room
  • 7. Mask Fabrication Slide 7  direct patterning  two lithography steps  relatively fast, simple process Silicon nitride membrane, 100 nm Electron beam lithography HSQ gratings Masking gratings with PMMA Cr/Au seed evaporation and liftoff Au electroplating of photon-stop Si Si3 N4 HSQ PMMA Au (Fallica et al., MNE 2015)Mask: 11, 12, 13, 14, 16, 18 nm HPs
  • 8. EUV Chemically Amplified Resist (CAR) Challenges-Future  Resolution (R, HP in nm), line width roughness (LWR, 3σ in nm) and sensitivity (S, dose in mJ/cm2) cannot be improved simultaneously  RLS trade-off Higher photon density  better LWR  high dose (S) Small Blur  better resolution (R)  high dose (S) Larger Blur  lower roughness (L)  loss of resolution (R)  Low power EUV sources  high sensitivity resists required to get high throughput  CARs research and development still dominate, impending need for further R&D and exploration of state-of-art resist platform alternatives  XIL  powerful method in development of EUV resists (CAR and non-CAR)  Different state-of-art EUV resists platforms tested under same process conditions (Mask, UL, resist thickness, etc., when possible) Slide 8
  • 9. Slide 9 Different CAR Resists Compared, HP= 16 nm HP=16nm-UL1(15nm thk), R1(20nm thk) HP=16nm-UL1(15nm thk), R2(25nm thk) HP=16nm-UL1(15nm thk), R3(25nm thk) HP=16nm-UL1(15nm thk), R1(25nm thk) 29.8mJ/cm2 32.7mJ/cm2 34.5mJ/cm2 36.0mJ/cm2 37.9mJ/cm2 39.6mJ/cm2 41.7mJ/cm2 43.5mJ/cm2 47.8mJ/cm2 36.4mJ/cm2 38.1mJ/cm2 40.0mJ/cm2 41.9mJ/cm2 44.0mJ/cm2 46.1mJ/cm2 48.4mJ/cm2 50.7mJ/cm2 19.4mJ/cm2 20.2mJ/cm2 21.4mJ/cm2 22.3mJ/cm2 23.5mJ/cm2 24.5mJ/cm2 25.8mJ/cm2 26.9mJ/cm2 34.6mJ/cm2 36.2mJ/cm2 38.0mJ/cm2 39.8mJ/cm2 43.8mJ/cm2 46mJ/cm2 50.6mJ/cm248.1mJ/cm2  High exposure latitude (EL) for both HP 16 and 18 nm ≥ 24% for all CAR resists  Comparable Z-factors @ 25 nm  LRS trade-off (figure of merit)  UL1R3 has smallest BE = 21mJ/cm2 Name BE (mJ/cm2) EL (%) LWR (nm) z-factor UL1R1-25nm 38.4 34.1 6.6 3.4E-08 UL1R1-20nm 43.4 27.4 8.7 6.7E-08 UL1R2-25nm 43.0 24.0 6.4 3.6E-08 UL1R3-25nm 21.0 26.5 6.2 1.6E-08 𝑍 = 𝐵𝐸 × 𝐿𝐸𝑅 2 × 𝐻𝑃 3 Slide 9
  • 10. CARs 14 and 13 nm HP comparison Slide 10 HP=13nm-UL1(15nm thk), R1(20nm thk) HP=13nm-UL1(15nm thk), R2(25nm thk) HP=13nm-UL1(15nm thk), R3(25nm thk) HP=13nm-UL1(15nm thnk), R1(25nm thk) 36.6mJ/cm2 38.3mJ/cm2 40.3mJ/cm2 42.1mJ/cm2 37mJ/cm2 38.8mJ/cm2 42.7mJ/cm2 47mJ/cm2 20.7mJ/cm2 21.6mJ/cm2 22.8mJ/cm2 44mJ/cm2 35mJ/cm2 36.9mJ/cm2 38.4mJ/cm2 40.5mJ/cm2  Well resolved patterning down to 13 nm for all CARs.  Small EL ≥ 4.5% @ HP14 for all highly performing CARs tested and up to 9.7% (UL1R3)  UL1R3 has smallest BE = 22.6mJ/cm2, low LWR (6.7nm) and high EL down to 14 nm HP (9.7%)  Small EL (3-6%) @ HP13 nm for all except for UL1R3 due to significant pinching, necking and pattern collapse.  UL1R1 @ 20 nm thickness has high EL≥6.5% for HP=13nm but LWR is high = 11.3 nm  Elevated LWR values also due to bad SEM contrast extremely thin resist layers Name HP BE (mJ/cm2) EL (%) LWR (nm) z-factor UL1R1-25nm 14 40.1 4.0 7.5 3.1E-08 UL1R1-20nm 14 43.5 8.7 9.6 5.6E-08 UL1R2-25nm 14 44.4 4.5 7.1 3.0E-08 UL1R3-25nm 14 22.6 9.7 6.7 1.4E-08 UL1R1-25nm 13 47.5 3.2 7.9 3.2E-08 UL1R1-20nm 13 58.2 6.5 11.3 8.1E-08 UL1R2-25nm 13 64.5 6.1 8.6 5.2E-08 UL1R3-25nm 13 24.8 0 6.1 1.0E-08
  • 11. 11nm HP, different CARs- Ultimate resolution Slide 11 HP=11nm-UL1(15nm thk), R1(20nm thk) HP=11nm-UL1(15nm thk), R2(25nm thk) HP=11nm-UL1(15nm thk), R3(25nm thk) HP=11nm-UL1(15nm thk), R1(25nm thk) 56.7mJ/cm251.2mJ/cm2 40.8mJ/cm2 30.4mJ/cm2  All resists @ 25 nm thickness are resolved with some pattern collapse and bridging down to 11 nm HP.  UL1R1 @ 20 nm thickness only modulation can be seen at the dose range tested  Patten collapse still limits resolution and EL for CARs
  • 12. Negative tone Chemically Amplified Molecular Resist: xMT Slide 12  Resin: Polymer matrix with functional side-groups, the bulk of the resist  Photo acid generator (PAG): photoactive compounds that produce an acid product after interaction with secondary electrons/photons  Quencher: base neutralizes acid, improves contrast of the resist (~2% of PAG)  Solvent: ~90% removed by bake  Crosslinker: to form crosslinks with the xMT molecule as it cannot do this by itself. Historically this comes from previous fullerene-based resists that are very hard to functionalize with epoxies (Frommhold et al., SPIE 2015)  Cleaner formulation process, does not require extensive purification processes to obtain good resists in comparison to Fullerene based resist. Molecular Resin Crosslinker PAG Quencher
  • 13. xMT-0614: 0.2:2:1 xMT:CL06-14:TPS SbF6 PAG + 5% Quencher Slide 13 PAG QuencherMolecular Resin (xMT) CL06-14 TPS SbF6: triphenyl sulfonium hexafluoroantimonate xMT-0801: 0.2:2:1 xMT:CL08-01:TPS SbF6 PAG + 5% Quencher Molecular Resin (xMT) CL08-01 PAG Quencher (Frommhold et al., SPIE 2015) 2 different crosslinker (CL) molecules tested, same mixing ratio
  • 14. HP=16nm-Carbon Underlayer(15nm thk)-xMT-0801(25nm thk) HP=16nm-Carbon Underlayer(15nm thk)-xMT-0614(25nm thk) Slide 14 Molecular Resists (xMT) compared HP=16 nm 34.3mJ/cm2 35.9mJ/cm2 37.3mJ/cm2 39.2mJ/cm2 40.7mJ/cm2 42.7mJ/cm2 44.4mJ/cm2 52.7mJ/cm230.3mJ/cm2 26.4mJ/cm2 28.8mJ/cm2 29.8mJ/cm2 31.4mJ/cm2 32.5mJ/cm2 34.2mJ/cm2 35.4mJ/cm2 38.6mJ/cm2 42.1mJ/cm2  Both xMT materials show well resolved line-spaces down to 16 nm HP.  High exposure latitude (EL) for HP 16 and 18 nm 15% > for both xMT resists. LWRs as low as 3.1 nm.  xMT-0801 shows low best energy (BE or dose-to-size) ~ 26.6 mJ/cm2 for 16 nm HP. LWRs, overall comparable. Name BE (mJ/cm2) EL (%) LWR (nm) z-factor xMT-0614 32.1 17.6 4.3 1.5E-08 xMT-0801 26.6 23.6 5.3 1.2E-08
  • 15. 11nm12nmHP=14nm Carbon Underlayer(15nm thk)-xMT-0801(25nm thk) Carbon Underlayer(15nm thk)-xMT-0614(25nm thk) Slide 15 Molecular Resists (xMT) compared HP=14 nm and below  xMT-0614 shows well resolved line-spaces down to 12 nm HP with slight bridging and pattern collapse.  xMT-0801 can resolve down to 12nm HP as well but has prevalent pattern collapse even at 14 nm HP  xMT-0801 has still lower BE @ 25.9 mJ/cm2 @ 14 nm HP.  No EL <16 nm HP for either due to bridging and pattern collapse  High potential to expand EL down to 11 nm HP features, pattern mitigation strategy needs to be explored  Great potential for high resolution patterning @ 13 nm HP and below! 13nm 39.5mJ/cm2 11nm 43.2mJ/cm2 12nm 34.9mJ/cm2 30.2mJ/cm2 HP=14nm 13nm 30.9mJ/cm2 41mJ/cm2 36mJ/cm2 Name HP BE (mJ/cm2) LWR (nm) z-factor xMT-0614 14 33.4 4.8 1.3E-08 xMT-0801 14 25.9 6.1 1.1E-08 xMT-0614 13 32.5 5.0 8.5E-08 xMT-0801 13 42.7 4.7 1.0E-08 xMT-0614 12 45.2 7.2 2.1E-08 xMT-0801 12 42.9 7.3 2.0E-08 31.6mJ/cm2
  • 16. Sn-based Resist Slide 16 Inpria YA negative tone organo-oxo molecule stable after exposure Sn-based forms SnO2 high absorption (Sn) L* = radiation sensitive ligand (Fallica et al., MNE 2015)
  • 17. Sn-based Resist- 16 and 14 nm HP Slide 17 HP=16nm-YA(25nm thk) HP=16nm-YA(32nm thk) 30mJ/cm2 35.6mJ/cm2 38.8mJ/cm2 42.3mJ/cm2 46.1mJ/cm2 54.8mJ/cm2 59.7mJ/cm2 70.9mJ/cm2 77.3mJ/cm2 38.6mJ/cm2 42.0mJ/cm2 45.7mJ/cm2 49.8mJ/cm2 54.2mJ/cm2 59.0mJ/cm2 64.2mJ/cm2 70.0mJ/cm2 76.2mJ/cm2 Two different thicknesses of same resist tested (25, 32 nm).  Super high EL >30% down to 14 nm HP nm for thin resist, EL decreases to ~13.8% for thick resist @ 14 nm HP  BE increases (54.969 mJ/cm2 @ 16 nm HP) with thickness.  But LWR decreases drastically (2.91.4 nm @ 16 nm HP)with increasing thickness (as expected). ThicknessHP BE (mJ/cm2) EL (%) LWR (nm) z-factor 25 nm 16 54.9 31.3 2.9 9.3E-09 32 nm 16 68.9 31.4 1.4 2.8E-09 25 nm 14 67.3 31.8 3.0 8.5E-09 32 nm 14 75.2 13.8 1.7 3.0E-09
  • 18. Sn-based Resist-ultimate resolution  EL reduced to 11.8% for HP 13 nm (25 nm thickness), super high for this HP.  12 and 11 nm HPs are well resolved and even small EL ~2% is possible @ 25 nm thickness for 12 nm HP  Even @ 32 nm thickness 12 and 11 nm HP also look very promising but pattern collapse limits the EL. Slide 18 HP=12nm-YA(25nm thk) HP=11nm-YA(25nm thk) HP=12nm-YA(32nm thk) HP=11nm-YA(32nm thk) 74.2mJ/cm258.0mJ/cm2 63.2mJ/cm2 68.8mJ/cm2 74.9mJ/cm2 58.1mJ/cm2 63.3mJ/cm2 69.0mJ/cm2 75.2mJ/cm245.4mJ/cm2 49.4mJ/cm2 53.9mJ/cm2 58.7mJ/cm2 64.0mJ/cm2 69.7mJ/cm2 76.0mJ/cm2 ThicknessHP BE (mJ/cm2) EL (%) LWR (nm) z-factor 25 nm 13 77.7 11.8 3.3 9.3E-09 32 nm 13 85.0 4.1 1.5 2.0E-09 25 nm 12 86.8 2.4 3.6 9.7E-09
  • 19.  Sn-based resist can be resolved down to 10 nm HP with minimum pattern collapse @ 22 nm thickness.  Thickness reduction is feasible for this resist due to superior etch resistance.  Low LWR and high EL at HPs ≤ 14 nm makes this resist very interesting for future high volume manufacturing needs. Slide 19 Sn-based Resist-ultimate resolution, 10 nm HP HP=10nm-YA(22nm thk) * Different mask used for this exposure
  • 20.  Z-factor used as global resist performance figure of merit, measure of the RLS trade-off-relationship  Each state-of-the-art resist platform shows different high performance characteristics: BE, EL, LWR, R, z-values comparable for all resists Slide 20 Z-factors compared for different state-of-art resist platforms @ different HPs 𝑍 = 𝑆𝑒𝑛𝑠𝑖𝑡𝑖𝑣𝑖𝑡𝑦, 𝐵𝐸 × 𝐿𝐸𝑅 2 × 𝐻𝑃 3 Constant Z intersecting smallest Z per HP
  • 21.  Patterning down to 11 nm and 10 nm HP can be done, several resist platforms need to be further explored for HVM.  EUV photons can do it  EUV-sensitive materials available (CAR and non-CAR)  Tools available for testing  Each state-of-the-art resist platform shows different high performance characteristics, z- values comparable for all resists  CAR: UL1R3 (25 nm thk) resist demonstrated to be highly performing with high EL > 9.7%, low BE=22.6 mJ/cm2 and low LWRs ~ 6.7 nm down to 14 nm HP.  Sn-based resist (25 nm thk) also high performing with super high EL down to 13 nm ~ 11.8%, and low LWR ~ 3.3 nm  xMT resist with further optimization to widen EL has potential for 14 and 13 nm HP, has low LWR~5nm and low BE ~ 35 mJ/cm2  Pattern collapse still limiting performance of most resists research ongoing.  Sn-based resist pattern collapse can be mitigated by use of thinner resist due to superior etch resistance Conclusions Slide 21
  • 22. Acknowledgments Slide 22 XIL-II team Members of LMN and SLS Collaborators http://www.psi.ch/sls/xil We thank all of our resist and underlayer suppliers Thank you for your attention!