SlideShare a Scribd company logo
1 of 7
Download to read offline
http://www.iaeme.com/IJECET/index.asp 46 editor@iaeme.com
International Journal of Electronics and Communication Engineering & Technology
(IJECET)
Volume 7, Issue 3, May–June 2016, pp. 46–52, Article ID: IJECET_07_03_006
Available online at
http://www.iaeme.com/IJECET/issues.asp?JType=IJECET&VType=7&IType=3
Journal Impact Factor (2016): 8.2691 (Calculated by GISI) www.jifactor.com
ISSN Print: 0976-6464 and ISSN Online: 0976-6472
© IAEME Publication
DESIGN AND DEVELOPMENT OF
MEMORY MANAGEMENT UNIT FOR MIL-
STD-1750 PROCESSOR
Prasad. S.G and Dr Siva Yellampalli
VTU Extension Centre, UTL Technologies Limited, Bangalore, Karnataka, India
Naveen. V
Control and Digital Electronics Group, ISAC, Department of Space, Bangalore,
Karnataka, India
ABSTRACT
Processor Interface ASIC (PI ASIC) was widely used to provide processor
interface logics for MAR31750 Processor along with MA31751 Memory
Management Unit (MMU) chip. However with the diminishing availability of
MA31750 processors, use of the Mil-Std -1750 processor of Honeywell make –
HX1750 as a replacement for the obsolete MAR 31750 Since HX-1750
processor does not have a compatible COTS MMU chip available, it was
decided to functionality of the existing MMU chip (MA31751) onto an FPGA
The remaining regular processor interface logics, similar to those in PI ASIC
are also housed inside the Processor Interface FPGA. Considering the
voluminous size of requirements, RTAX-1000S FPGA was chosen to
implement the processor interface logics. With a system clock of 24MHz and
an internal MMU inside the FPGA the cycle time for memory accesses has
considerably been reduced. The embedded SRAM blocks of the RTAX-1000S
FPGA are used as shared RAM between CPU and the 1553 device.
Key words: MMU, FPGA, ASIC, BPU
Cite this Article: Prasad. S. G, Dr Siva Yellampalli and Naveen. V, Design
and Development of Memory Management Unit for MIL-STD-1750
Processor, International Journal of Electronics and Communication
Engineering & Technology, 7(3), 2016, pp. 46–52.
http://www.iaeme.com/IJECET/issues.asp?JType=IJECET&VType=7&IType=3
Design and Development of Memory Management Unit for MIL-STD-1750 Processor
http://www.iaeme.com/IJECET/index.asp 47 editor@iaeme.com
INTRODUCTION
A memory management unit (MMU), sometimes called (PMMU) paged memory
management unit. It is a computer hardware component for using accesses to memory
requested by the CPU. First performing the translation of virtual memory addresses to
physical addresses (i.e., virtual memory management), it is implemented as part of the
central processing unit, but it can also be in the form of a separate integrated circuit.
MMU is clearly performing the virtual memory management, bus arbitration, memory
protection, cache control and bank switchin.
ASIC VS FPGA
Table 1 PI ASIC versus PI FPGA
Processor Interface ASIC Processor Interface FPGA
Designed for 12 MHz Designed for 24 MHz
MMU external to ASIC MMU to address up to 1M locations
Interface for Both Internal and External
Shared RAMs
Interface for Internal Shared RAM only
Employs existing EDAC for RAMs Employs Core EDAC® IP Core for Internal
RAM and existing EDAC for External RAM
Nature of Internal RAM : DP SRAM with
8K word of Memory
Nature of Internal RAM : SRAM with single
port, 8K word memory
I/O Decoding for some addresses not present I/O Decoding for all I/O addresses present
EEPROM Interface logics absent EEPROM Interface Logics provided
NEED FOR MMU
The HX-1750 processor can address a maximum of 64kB of memory through its
address lines which falls short of 1MB of memory observed on onboard. Thus, the
MMU caters to this need by providing extended addressing and making it possible for
the processor address 1 MB of memory.
DESIGN OF MMU
Memory Management Unit is implemented in RTAX-1000 FPGA. The design of
MMU is similar to that of the existing MA 31751 MMU chip [8]. The principal
function of memory management unit is to provide extended addressing to the
processor by means of address translation. The BPU of MA 31751 is not implemented
in the memory management unit design. The MMU module is designed only to
increase the memory addressing capability of the HX-1750 CPU.
The processor inputs the 16 bit Address (ADDR_VALID [15:0]) and the Address
State (AS [3:0]). The memory management unit performs address translation to output
the Physical Page Address which is referred to by Extended Address (EA [7:0]). The
extended 20 bit address is formed by the concatenation of EA [7:0] and the
ADDR_VALID [11:0] as shown in the figure 1.
Prasad.S.G, Dr Siva Yellampalli and Naveen.V
http://www.iaeme.com/IJECET/index.asp 48 editor@iaeme.com
Figure 1 Generation of 20 bit Physical Address
The main memory (SRAM) is divided in to 256 pages of size 4kB each.
Therefore, the MMU may have an array of registers which contain the physical page
addresses (PPA) of all the pages available within the memory.
The MMU decodes the incoming processor address to classify the command as
either an operation on Instruction registers or Operand registers.
PAGE REGISTERS
The main memory is divided into 256 pages of 4k words each. The MMU maps the
system memory into these 4k word pages. A page is a block of physical page memory
which is uniquely specified by the PPA [3]. A given address within any page is
specified by the least significant twelve bits of the CPU address bus. Each page
register is 8 bit wide and contains the physical page address of a page in the main
memory. A total of 512 page registers divided into two groups of 256 registers each,
one dedicated for Instruction memory space and one for Operand memory space.
The MMU is initialized to provide a linear, one to one mapping of the PPA when
system reset occurs. The CPU may change the mapping when it is in privileged
instruction mode using XIO commands 5100 to 52FF as defined in MIL-STD-1750.
Two register banks, one for instruction and one for operand are created. Each bank is
implemented as an 8 bit word array of length 256.
Write Operation
The processor data is written into the specified 8 bit register address (REG_ADDR).
Write operation into the file registers occurs during the rising edge of the IOWR
(write clock (W_CLK)) and when the write enable (WE) is high.
Read Operation
Two 256 to 1 MUX are placed one outside each set of page registers (instruction and
operand page registers). Depending on the selection lines (REG_ADDR), the
Design and Development of Memory Management Unit for MIL-STD-1750 Processor
http://www.iaeme.com/IJECET/index.asp 49 editor@iaeme.com
multiplexer selects the content of required page register and relays it to the 2 to 1
multiplexer as show in figure 2. The 2 to 1 MUX selects between the signals
EA_INSTRUCTION and EA_OPERAND depending upon the selection line
REG_ADDR to output MMU_DATA_OUT. The data for processor read is always
available on EA [7:0]. The MMU data for processor read is routed to the processor
data bus in the data bus routing module when the enable MMU_DATA_CS is
activated.
Figure 2 Read/write logic on Page registers
Translation
Two 256 to 1 multiplexers are placed one outside each set of page registers
(instruction and operand page registers). Depending on the selection lines
(TRANS_ADR), the multiplexer selects the contents of required page register and
relays it to the 2 to 1 multiplexer. TRANS_ADR to the multiplexer is formed by the
concatenation of AS [3:0] and ADDR_VALID [3:0]. MMU_TRANS_PORT always
contains the contents of the register specified by TRANS_ADR.
MMU_TRANS_PORT is either EA_INSTRUCTION or EA_OPERAND depending
upon the bank which outputs MMU_TRANS_PORT.
The 2 to 1 multiplexer in the right hand corner [9]. Depending upon the value of
the selection line DI, either the EA_INSTRUCTION or the EA_OPERAND is
selected and relayed as the required EA [7:0] as an output of the memory management
unit module.
Identical to read, the translation operation is asynchronous. EA [7:0] is always
available outside the memory management unit module irrespective of any translation
enable. To make use of EA [7:0], the processor asserts the IOM signal to logic low.
The extended 20 bit address is formed by concatenation of EA [7:0] and the
ADDR_VALID [11:0].
Prasad.S.G, Dr Siva Yellampalli and Naveen.V
http://www.iaeme.com/IJECET/index.asp 50 editor@iaeme.com
SIMULATION AND RESULTS
The simulated results obtained using ModelSim simulator and the device utilization
obtained using Libero Microsemi.
The VHDL code for memory management unit module was simulated for all
combinations of Data, Address and Control signals.
Figure 3 Write operation timing waveform
Figure 4 Read operation timing waveform
Design and Development of Memory Management Unit for MIL-STD-1750 Processor
http://www.iaeme.com/IJECET/index.asp 51 editor@iaeme.com
Figure 5 the simulated result of the MMU
SL. No Test case
1 Operand Register Read from Locations D200 to D2FF
2 Instruction Register Read from locations D100 to D1FF
3 Operand Register Write from Locations 5200 to 52FF
4 Instruction Register Write from Locations 5100 to 51FF
5 Operand Translation for TRANS_ADR values ranging from 00 to FF
6 Instruction Translate for TRANS_ADR values ranging from 00 to FF
7 Operand Register and Instruction Register Read with IOM =0
8 Operand and Instruction Translate with IOM=1
Table 2 List of FPGA Simulation Test Case
CONCLUSION AND FUTURE WORK
MMU for extending the 16 bit processor address to reference 1M words (20 bit
address) with the use of 256 Instruction and Operand page register each has been
designed, tested for implementation. This design work will optimize the board by
limiting the need for a dedicated memory management unit device and also helps to
tide over the obsolescence in the MIL-STD-1750 compatible MMU.
Microsemi RTAX1000S FPGA is used to realize the logics. Design and
implementation of the MMU will be done in Microsemi’s Libero environment using
VHDL language.
Prasad.S.G, Dr Siva Yellampalli and Naveen.V
http://www.iaeme.com/IJECET/index.asp 52 editor@iaeme.com
Future work can integrate the Block Protection Unit (BPU) for memory protection
into the design as with the case of the dedicated Memory Management Unit.
REFERENCES
[1] ISRO ASIC /FPGA design and development guidelines.
[2] John L. Hennsley and David A. Patterson, “Computer Architecture – A
quantitative approach”, 2 edition Morgan Kaufmanns publishers inc. San
Francisco, California, 1996.
[3] Memory management overview, Karl Ingström, Anders Daleby. Department of
Computer Engineering, University of Mälardalen, Sweden, 2001.
[4] K.C. Chang, “Digital Design & Modeling with VHDL & Synthesis” IEEE
Computer society press.
[5] Radiation-Hardended FPGAs Datasheet -Actel Corporation. See the Actel
website for the latest version of the datasheet. V3.1. Radiation-Hardened FPGAs.
Features www.actel.com/documents/RadHard_DS.pdf.
[6] Processor Interface ASIC (PI-ASIC) design document.
[7] Stefan Sjoholm, “VHDL for Designers” Pearson Prentice Hall.
[8] MA31751 -User configurable, the MA31751 can perform as an MMU, a BPU or
both MMU and BPU, conforming to MA31751 devices can be used to give 16M
wordsoflogical.www.dynexsemi.com/assets/
SOS/Datasheets/DNX_MA31751_Jul02.pdf.
[9] Operating system concepts, 4ed, A. Silberschatz and P.B Galvin, ISBN 0-201-
50480-4, 1994, Addison-Wesley.
[10] J.K. Kishore, “A memory management unit for satellite recovery experiment”,
International Astronautical Congress 2007.
[11] R. Revathi S. Sinthuja Dr. N. Manoharan and N. Rajendiran, Allocation of Power
in Relay Networks for Secured Communication, International Journal of
Advanced Research in Engineering & Technology, 6(8), 2015, pp. 135–144.
[12] Dhanya Pushkaran and Neethu Bhaskar, AES Encryption Engine for Many Core
Processor Arrays for Enhanced Security, International Journal of Electronics and
Communication Engineering & Technology, 5(12), 2014, pp. 106–111.

More Related Content

What's hot

IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER cscpconf
 
LCA13: ARMv8 Status and Updates
LCA13: ARMv8 Status and UpdatesLCA13: ARMv8 Status and Updates
LCA13: ARMv8 Status and UpdatesLinaro
 
Computer architecture
Computer architectureComputer architecture
Computer architectureneclinux
 
VJITSk 6713 user manual
VJITSk 6713 user manualVJITSk 6713 user manual
VJITSk 6713 user manualkot seelam
 
Data cache design itanium 2
Data cache design itanium 2Data cache design itanium 2
Data cache design itanium 2Léia de Sousa
 
Ch4 v70 system_configuration_en
Ch4 v70 system_configuration_enCh4 v70 system_configuration_en
Ch4 v70 system_configuration_enconfidencial
 
interprocessor arbitration
interprocessor arbitrationinterprocessor arbitration
interprocessor arbitrationAditya Mishra
 
Microprocessors Assignment
Microprocessors AssignmentMicroprocessors Assignment
Microprocessors AssignmentRAHUL957367
 
Itc lec 3 Ip cycle , system unit, interface
Itc lec 3 Ip cycle , system unit, interfaceItc lec 3 Ip cycle , system unit, interface
Itc lec 3 Ip cycle , system unit, interfaceAnzaDar3
 
Design and implementation of microprocessor trainer bus system
Design and implementation of microprocessor trainer bus systemDesign and implementation of microprocessor trainer bus system
Design and implementation of microprocessor trainer bus systemIJARIIT
 
Acpi and smi handlers some limits to trusted computing
Acpi and smi handlers some limits to trusted computingAcpi and smi handlers some limits to trusted computing
Acpi and smi handlers some limits to trusted computingUltraUploader
 
The Architecture Design for Find Outing Vehicle Position System using Communi...
The Architecture Design for Find Outing Vehicle Position System using Communi...The Architecture Design for Find Outing Vehicle Position System using Communi...
The Architecture Design for Find Outing Vehicle Position System using Communi...Kumar Goud
 
Unit 5 lect-3-multiprocessor
Unit 5 lect-3-multiprocessorUnit 5 lect-3-multiprocessor
Unit 5 lect-3-multiprocessorvishal choudhary
 

What's hot (20)

IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
 
Mod3
Mod3Mod3
Mod3
 
LCA13: ARMv8 Status and Updates
LCA13: ARMv8 Status and UpdatesLCA13: ARMv8 Status and Updates
LCA13: ARMv8 Status and Updates
 
Computer architecture
Computer architectureComputer architecture
Computer architecture
 
VJITSk 6713 user manual
VJITSk 6713 user manualVJITSk 6713 user manual
VJITSk 6713 user manual
 
Data cache design itanium 2
Data cache design itanium 2Data cache design itanium 2
Data cache design itanium 2
 
Ch4 v70 system_configuration_en
Ch4 v70 system_configuration_enCh4 v70 system_configuration_en
Ch4 v70 system_configuration_en
 
Cpi unit 01
Cpi unit 01Cpi unit 01
Cpi unit 01
 
K vector embedded_linux_workshop
K vector embedded_linux_workshopK vector embedded_linux_workshop
K vector embedded_linux_workshop
 
interprocessor arbitration
interprocessor arbitrationinterprocessor arbitration
interprocessor arbitration
 
Microprocessors Assignment
Microprocessors AssignmentMicroprocessors Assignment
Microprocessors Assignment
 
Itc lec 3 Ip cycle , system unit, interface
Itc lec 3 Ip cycle , system unit, interfaceItc lec 3 Ip cycle , system unit, interface
Itc lec 3 Ip cycle , system unit, interface
 
Design and implementation of microprocessor trainer bus system
Design and implementation of microprocessor trainer bus systemDesign and implementation of microprocessor trainer bus system
Design and implementation of microprocessor trainer bus system
 
Acpi and smi handlers some limits to trusted computing
Acpi and smi handlers some limits to trusted computingAcpi and smi handlers some limits to trusted computing
Acpi and smi handlers some limits to trusted computing
 
I/O Channel IBM 370
I/O Channel IBM 370I/O Channel IBM 370
I/O Channel IBM 370
 
The Architecture Design for Find Outing Vehicle Position System using Communi...
The Architecture Design for Find Outing Vehicle Position System using Communi...The Architecture Design for Find Outing Vehicle Position System using Communi...
The Architecture Design for Find Outing Vehicle Position System using Communi...
 
Microprocessor
MicroprocessorMicroprocessor
Microprocessor
 
Dm25671674
Dm25671674Dm25671674
Dm25671674
 
Unit 5 lect-3-multiprocessor
Unit 5 lect-3-multiprocessorUnit 5 lect-3-multiprocessor
Unit 5 lect-3-multiprocessor
 
Advanced micro -processor
Advanced micro -processorAdvanced micro -processor
Advanced micro -processor
 

Viewers also liked

Viewers also liked (7)

Mca ii os u-4 memory management
Mca  ii  os u-4 memory managementMca  ii  os u-4 memory management
Mca ii os u-4 memory management
 
Unit 5
Unit 5Unit 5
Unit 5
 
Podcasting at Hollings Campus (MMU)
Podcasting at Hollings Campus (MMU)Podcasting at Hollings Campus (MMU)
Podcasting at Hollings Campus (MMU)
 
Slides Mmu
Slides MmuSlides Mmu
Slides Mmu
 
Operating Systems - memory management
Operating Systems - memory managementOperating Systems - memory management
Operating Systems - memory management
 
Memory hierarchy
Memory hierarchyMemory hierarchy
Memory hierarchy
 
Memory management
Memory managementMemory management
Memory management
 

Similar to DESIGN AND DEVELOPMENT OF MEMORY MANAGEMENT UNIT FOR MIL-STD-1750 PROCESSOR

IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET Journal
 
Project report on embedded system using 8051 microcontroller
Project  report on embedded system using 8051 microcontrollerProject  report on embedded system using 8051 microcontroller
Project report on embedded system using 8051 microcontrollerVandna Sambyal
 
Module 4 advanced microprocessors
Module 4 advanced microprocessorsModule 4 advanced microprocessors
Module 4 advanced microprocessorsDeepak John
 
Introduction to-microprocessor
Introduction to-microprocessorIntroduction to-microprocessor
Introduction to-microprocessorankitnav1
 
Introduction to-microprocessor
Introduction to-microprocessorIntroduction to-microprocessor
Introduction to-microprocessorankitnav1
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)Susam Pal
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsROHIT89352
 
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISAImproving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISAIJMTST Journal
 
Computer engineering - overview of microprocessors
Computer engineering - overview of microprocessorsComputer engineering - overview of microprocessors
Computer engineering - overview of microprocessorsEkeedaPvtLtd
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...IRJET Journal
 
Introduction to 8085 Microprocessor.pptx
Introduction to 8085 Microprocessor.pptxIntroduction to 8085 Microprocessor.pptx
Introduction to 8085 Microprocessor.pptxVishalGaur54
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...IAEME Publication
 
Architecture Of TMS320C50 DSP Processor
Architecture Of TMS320C50 DSP ProcessorArchitecture Of TMS320C50 DSP Processor
Architecture Of TMS320C50 DSP ProcessorJanelle Martinez
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET Journal
 
Features of tms_320_2nd_generation_dsp
Features of tms_320_2nd_generation_dspFeatures of tms_320_2nd_generation_dsp
Features of tms_320_2nd_generation_dspSmriti Tikoo
 
FPGA Verilog Processor Design
FPGA Verilog Processor DesignFPGA Verilog Processor Design
FPGA Verilog Processor DesignArchana Udaranga
 

Similar to DESIGN AND DEVELOPMENT OF MEMORY MANAGEMENT UNIT FOR MIL-STD-1750 PROCESSOR (20)

IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
 
Project report on embedded system using 8051 microcontroller
Project  report on embedded system using 8051 microcontrollerProject  report on embedded system using 8051 microcontroller
Project report on embedded system using 8051 microcontroller
 
Module 4 advanced microprocessors
Module 4 advanced microprocessorsModule 4 advanced microprocessors
Module 4 advanced microprocessors
 
Introduction to-microprocessor
Introduction to-microprocessorIntroduction to-microprocessor
Introduction to-microprocessor
 
Introduction to-microprocessor
Introduction to-microprocessorIntroduction to-microprocessor
Introduction to-microprocessor
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applications
 
Details 8086 Microprocessor
Details 8086 MicroprocessorDetails 8086 Microprocessor
Details 8086 Microprocessor
 
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISAImproving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISA
 
20120140502007 2-3
20120140502007 2-320120140502007 2-3
20120140502007 2-3
 
Computer engineering - overview of microprocessors
Computer engineering - overview of microprocessorsComputer engineering - overview of microprocessors
Computer engineering - overview of microprocessors
 
Module 1 8086
Module 1 8086Module 1 8086
Module 1 8086
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
 
Introduction to 8085 Microprocessor.pptx
Introduction to 8085 Microprocessor.pptxIntroduction to 8085 Microprocessor.pptx
Introduction to 8085 Microprocessor.pptx
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Architecture Of TMS320C50 DSP Processor
Architecture Of TMS320C50 DSP ProcessorArchitecture Of TMS320C50 DSP Processor
Architecture Of TMS320C50 DSP Processor
 
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
IRJET-Design of ARM Based Data Acquisition and Control System for Engine Asse...
 
Features of tms_320_2nd_generation_dsp
Features of tms_320_2nd_generation_dspFeatures of tms_320_2nd_generation_dsp
Features of tms_320_2nd_generation_dsp
 
FPGA Verilog Processor Design
FPGA Verilog Processor DesignFPGA Verilog Processor Design
FPGA Verilog Processor Design
 

More from IAEME Publication

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME Publication
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...IAEME Publication
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSIAEME Publication
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSIAEME Publication
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSIAEME Publication
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSIAEME Publication
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOIAEME Publication
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IAEME Publication
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYIAEME Publication
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...IAEME Publication
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEIAEME Publication
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...IAEME Publication
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...IAEME Publication
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...IAEME Publication
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...IAEME Publication
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...IAEME Publication
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...IAEME Publication
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...IAEME Publication
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...IAEME Publication
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTIAEME Publication
 

More from IAEME Publication (20)

IAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdfIAEME_Publication_Call_for_Paper_September_2022.pdf
IAEME_Publication_Call_for_Paper_September_2022.pdf
 
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
MODELING AND ANALYSIS OF SURFACE ROUGHNESS AND WHITE LATER THICKNESS IN WIRE-...
 
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURSA STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
A STUDY ON THE REASONS FOR TRANSGENDER TO BECOME ENTREPRENEURS
 
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURSBROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
BROAD UNEXPOSED SKILLS OF TRANSGENDER ENTREPRENEURS
 
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONSDETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
DETERMINANTS AFFECTING THE USER'S INTENTION TO USE MOBILE BANKING APPLICATIONS
 
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONSANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
ANALYSE THE USER PREDILECTION ON GPAY AND PHONEPE FOR DIGITAL TRANSACTIONS
 
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINOVOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
VOICE BASED ATM FOR VISUALLY IMPAIRED USING ARDUINO
 
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
IMPACT OF EMOTIONAL INTELLIGENCE ON HUMAN RESOURCE MANAGEMENT PRACTICES AMONG...
 
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMYVISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
VISUALISING AGING PARENTS & THEIR CLOSE CARERS LIFE JOURNEY IN AGING ECONOMY
 
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
A STUDY ON THE IMPACT OF ORGANIZATIONAL CULTURE ON THE EFFECTIVENESS OF PERFO...
 
GANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICEGANDHI ON NON-VIOLENT POLICE
GANDHI ON NON-VIOLENT POLICE
 
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
A STUDY ON TALENT MANAGEMENT AND ITS IMPACT ON EMPLOYEE RETENTION IN SELECTED...
 
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
ATTRITION IN THE IT INDUSTRY DURING COVID-19 PANDEMIC: LINKING EMOTIONAL INTE...
 
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
INFLUENCE OF TALENT MANAGEMENT PRACTICES ON ORGANIZATIONAL PERFORMANCE A STUD...
 
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
A STUDY OF VARIOUS TYPES OF LOANS OF SELECTED PUBLIC AND PRIVATE SECTOR BANKS...
 
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
EXPERIMENTAL STUDY OF MECHANICAL AND TRIBOLOGICAL RELATION OF NYLON/BaSO4 POL...
 
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
ROLE OF SOCIAL ENTREPRENEURSHIP IN RURAL DEVELOPMENT OF INDIA - PROBLEMS AND ...
 
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
OPTIMAL RECONFIGURATION OF POWER DISTRIBUTION RADIAL NETWORK USING HYBRID MET...
 
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
APPLICATION OF FRUGAL APPROACH FOR PRODUCTIVITY IMPROVEMENT - A CASE STUDY OF...
 
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENTA MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
A MULTIPLE – CHANNEL QUEUING MODELS ON FUZZY ENVIRONMENT
 

Recently uploaded

VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptNANDHAKUMARA10
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTbhaskargani46
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoordharasingh5698
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . pptDineshKumar4165
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 

Recently uploaded (20)

VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 

DESIGN AND DEVELOPMENT OF MEMORY MANAGEMENT UNIT FOR MIL-STD-1750 PROCESSOR

  • 1. http://www.iaeme.com/IJECET/index.asp 46 editor@iaeme.com International Journal of Electronics and Communication Engineering & Technology (IJECET) Volume 7, Issue 3, May–June 2016, pp. 46–52, Article ID: IJECET_07_03_006 Available online at http://www.iaeme.com/IJECET/issues.asp?JType=IJECET&VType=7&IType=3 Journal Impact Factor (2016): 8.2691 (Calculated by GISI) www.jifactor.com ISSN Print: 0976-6464 and ISSN Online: 0976-6472 © IAEME Publication DESIGN AND DEVELOPMENT OF MEMORY MANAGEMENT UNIT FOR MIL- STD-1750 PROCESSOR Prasad. S.G and Dr Siva Yellampalli VTU Extension Centre, UTL Technologies Limited, Bangalore, Karnataka, India Naveen. V Control and Digital Electronics Group, ISAC, Department of Space, Bangalore, Karnataka, India ABSTRACT Processor Interface ASIC (PI ASIC) was widely used to provide processor interface logics for MAR31750 Processor along with MA31751 Memory Management Unit (MMU) chip. However with the diminishing availability of MA31750 processors, use of the Mil-Std -1750 processor of Honeywell make – HX1750 as a replacement for the obsolete MAR 31750 Since HX-1750 processor does not have a compatible COTS MMU chip available, it was decided to functionality of the existing MMU chip (MA31751) onto an FPGA The remaining regular processor interface logics, similar to those in PI ASIC are also housed inside the Processor Interface FPGA. Considering the voluminous size of requirements, RTAX-1000S FPGA was chosen to implement the processor interface logics. With a system clock of 24MHz and an internal MMU inside the FPGA the cycle time for memory accesses has considerably been reduced. The embedded SRAM blocks of the RTAX-1000S FPGA are used as shared RAM between CPU and the 1553 device. Key words: MMU, FPGA, ASIC, BPU Cite this Article: Prasad. S. G, Dr Siva Yellampalli and Naveen. V, Design and Development of Memory Management Unit for MIL-STD-1750 Processor, International Journal of Electronics and Communication Engineering & Technology, 7(3), 2016, pp. 46–52. http://www.iaeme.com/IJECET/issues.asp?JType=IJECET&VType=7&IType=3
  • 2. Design and Development of Memory Management Unit for MIL-STD-1750 Processor http://www.iaeme.com/IJECET/index.asp 47 editor@iaeme.com INTRODUCTION A memory management unit (MMU), sometimes called (PMMU) paged memory management unit. It is a computer hardware component for using accesses to memory requested by the CPU. First performing the translation of virtual memory addresses to physical addresses (i.e., virtual memory management), it is implemented as part of the central processing unit, but it can also be in the form of a separate integrated circuit. MMU is clearly performing the virtual memory management, bus arbitration, memory protection, cache control and bank switchin. ASIC VS FPGA Table 1 PI ASIC versus PI FPGA Processor Interface ASIC Processor Interface FPGA Designed for 12 MHz Designed for 24 MHz MMU external to ASIC MMU to address up to 1M locations Interface for Both Internal and External Shared RAMs Interface for Internal Shared RAM only Employs existing EDAC for RAMs Employs Core EDAC® IP Core for Internal RAM and existing EDAC for External RAM Nature of Internal RAM : DP SRAM with 8K word of Memory Nature of Internal RAM : SRAM with single port, 8K word memory I/O Decoding for some addresses not present I/O Decoding for all I/O addresses present EEPROM Interface logics absent EEPROM Interface Logics provided NEED FOR MMU The HX-1750 processor can address a maximum of 64kB of memory through its address lines which falls short of 1MB of memory observed on onboard. Thus, the MMU caters to this need by providing extended addressing and making it possible for the processor address 1 MB of memory. DESIGN OF MMU Memory Management Unit is implemented in RTAX-1000 FPGA. The design of MMU is similar to that of the existing MA 31751 MMU chip [8]. The principal function of memory management unit is to provide extended addressing to the processor by means of address translation. The BPU of MA 31751 is not implemented in the memory management unit design. The MMU module is designed only to increase the memory addressing capability of the HX-1750 CPU. The processor inputs the 16 bit Address (ADDR_VALID [15:0]) and the Address State (AS [3:0]). The memory management unit performs address translation to output the Physical Page Address which is referred to by Extended Address (EA [7:0]). The extended 20 bit address is formed by the concatenation of EA [7:0] and the ADDR_VALID [11:0] as shown in the figure 1.
  • 3. Prasad.S.G, Dr Siva Yellampalli and Naveen.V http://www.iaeme.com/IJECET/index.asp 48 editor@iaeme.com Figure 1 Generation of 20 bit Physical Address The main memory (SRAM) is divided in to 256 pages of size 4kB each. Therefore, the MMU may have an array of registers which contain the physical page addresses (PPA) of all the pages available within the memory. The MMU decodes the incoming processor address to classify the command as either an operation on Instruction registers or Operand registers. PAGE REGISTERS The main memory is divided into 256 pages of 4k words each. The MMU maps the system memory into these 4k word pages. A page is a block of physical page memory which is uniquely specified by the PPA [3]. A given address within any page is specified by the least significant twelve bits of the CPU address bus. Each page register is 8 bit wide and contains the physical page address of a page in the main memory. A total of 512 page registers divided into two groups of 256 registers each, one dedicated for Instruction memory space and one for Operand memory space. The MMU is initialized to provide a linear, one to one mapping of the PPA when system reset occurs. The CPU may change the mapping when it is in privileged instruction mode using XIO commands 5100 to 52FF as defined in MIL-STD-1750. Two register banks, one for instruction and one for operand are created. Each bank is implemented as an 8 bit word array of length 256. Write Operation The processor data is written into the specified 8 bit register address (REG_ADDR). Write operation into the file registers occurs during the rising edge of the IOWR (write clock (W_CLK)) and when the write enable (WE) is high. Read Operation Two 256 to 1 MUX are placed one outside each set of page registers (instruction and operand page registers). Depending on the selection lines (REG_ADDR), the
  • 4. Design and Development of Memory Management Unit for MIL-STD-1750 Processor http://www.iaeme.com/IJECET/index.asp 49 editor@iaeme.com multiplexer selects the content of required page register and relays it to the 2 to 1 multiplexer as show in figure 2. The 2 to 1 MUX selects between the signals EA_INSTRUCTION and EA_OPERAND depending upon the selection line REG_ADDR to output MMU_DATA_OUT. The data for processor read is always available on EA [7:0]. The MMU data for processor read is routed to the processor data bus in the data bus routing module when the enable MMU_DATA_CS is activated. Figure 2 Read/write logic on Page registers Translation Two 256 to 1 multiplexers are placed one outside each set of page registers (instruction and operand page registers). Depending on the selection lines (TRANS_ADR), the multiplexer selects the contents of required page register and relays it to the 2 to 1 multiplexer. TRANS_ADR to the multiplexer is formed by the concatenation of AS [3:0] and ADDR_VALID [3:0]. MMU_TRANS_PORT always contains the contents of the register specified by TRANS_ADR. MMU_TRANS_PORT is either EA_INSTRUCTION or EA_OPERAND depending upon the bank which outputs MMU_TRANS_PORT. The 2 to 1 multiplexer in the right hand corner [9]. Depending upon the value of the selection line DI, either the EA_INSTRUCTION or the EA_OPERAND is selected and relayed as the required EA [7:0] as an output of the memory management unit module. Identical to read, the translation operation is asynchronous. EA [7:0] is always available outside the memory management unit module irrespective of any translation enable. To make use of EA [7:0], the processor asserts the IOM signal to logic low. The extended 20 bit address is formed by concatenation of EA [7:0] and the ADDR_VALID [11:0].
  • 5. Prasad.S.G, Dr Siva Yellampalli and Naveen.V http://www.iaeme.com/IJECET/index.asp 50 editor@iaeme.com SIMULATION AND RESULTS The simulated results obtained using ModelSim simulator and the device utilization obtained using Libero Microsemi. The VHDL code for memory management unit module was simulated for all combinations of Data, Address and Control signals. Figure 3 Write operation timing waveform Figure 4 Read operation timing waveform
  • 6. Design and Development of Memory Management Unit for MIL-STD-1750 Processor http://www.iaeme.com/IJECET/index.asp 51 editor@iaeme.com Figure 5 the simulated result of the MMU SL. No Test case 1 Operand Register Read from Locations D200 to D2FF 2 Instruction Register Read from locations D100 to D1FF 3 Operand Register Write from Locations 5200 to 52FF 4 Instruction Register Write from Locations 5100 to 51FF 5 Operand Translation for TRANS_ADR values ranging from 00 to FF 6 Instruction Translate for TRANS_ADR values ranging from 00 to FF 7 Operand Register and Instruction Register Read with IOM =0 8 Operand and Instruction Translate with IOM=1 Table 2 List of FPGA Simulation Test Case CONCLUSION AND FUTURE WORK MMU for extending the 16 bit processor address to reference 1M words (20 bit address) with the use of 256 Instruction and Operand page register each has been designed, tested for implementation. This design work will optimize the board by limiting the need for a dedicated memory management unit device and also helps to tide over the obsolescence in the MIL-STD-1750 compatible MMU. Microsemi RTAX1000S FPGA is used to realize the logics. Design and implementation of the MMU will be done in Microsemi’s Libero environment using VHDL language.
  • 7. Prasad.S.G, Dr Siva Yellampalli and Naveen.V http://www.iaeme.com/IJECET/index.asp 52 editor@iaeme.com Future work can integrate the Block Protection Unit (BPU) for memory protection into the design as with the case of the dedicated Memory Management Unit. REFERENCES [1] ISRO ASIC /FPGA design and development guidelines. [2] John L. Hennsley and David A. Patterson, “Computer Architecture – A quantitative approach”, 2 edition Morgan Kaufmanns publishers inc. San Francisco, California, 1996. [3] Memory management overview, Karl Ingström, Anders Daleby. Department of Computer Engineering, University of Mälardalen, Sweden, 2001. [4] K.C. Chang, “Digital Design & Modeling with VHDL & Synthesis” IEEE Computer society press. [5] Radiation-Hardended FPGAs Datasheet -Actel Corporation. See the Actel website for the latest version of the datasheet. V3.1. Radiation-Hardened FPGAs. Features www.actel.com/documents/RadHard_DS.pdf. [6] Processor Interface ASIC (PI-ASIC) design document. [7] Stefan Sjoholm, “VHDL for Designers” Pearson Prentice Hall. [8] MA31751 -User configurable, the MA31751 can perform as an MMU, a BPU or both MMU and BPU, conforming to MA31751 devices can be used to give 16M wordsoflogical.www.dynexsemi.com/assets/ SOS/Datasheets/DNX_MA31751_Jul02.pdf. [9] Operating system concepts, 4ed, A. Silberschatz and P.B Galvin, ISBN 0-201- 50480-4, 1994, Addison-Wesley. [10] J.K. Kishore, “A memory management unit for satellite recovery experiment”, International Astronautical Congress 2007. [11] R. Revathi S. Sinthuja Dr. N. Manoharan and N. Rajendiran, Allocation of Power in Relay Networks for Secured Communication, International Journal of Advanced Research in Engineering & Technology, 6(8), 2015, pp. 135–144. [12] Dhanya Pushkaran and Neethu Bhaskar, AES Encryption Engine for Many Core Processor Arrays for Enhanced Security, International Journal of Electronics and Communication Engineering & Technology, 5(12), 2014, pp. 106–111.