SlideShare a Scribd company logo
ALD/CVD applications, equipment and
precursors in high volume manufacturing
Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC
0
500
1000
1500
0%
20%
40%
60%
80%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2
REO STO, BST etc. Ta2O5 Total
Introduction of ALD in 300mm HVM
200mm
CMC Seminar / ICMtia Joint Conf 10/2018
The driver behind ALD & CVD
300mm Equipment fab invest
ALD Equipment Market <25% of the total CVD Wafer
Equipment market
• Tokyo Electron has passed ASM
in revenue 2017
• 90% of the market is 300mm
wafer processing equipment
• TEL and Hitachi Kokusai
dominates Large Batch ALD
• 300 mm Spatial ALD and Multi
wafer tools continues taking
market shares vs. Single wafer &
Large Batch
4
25%
24%
16%
11%
7%
5%
3%
9%
ALD Equipment Market Estimate 2017
US$ 1.8 to 1.9 B
(Semi, PV, Display, MEMS, R&D)
Tokyo Electron
ASM International
Hitachi Kokusai Electric
Lam Research
Wonik IPS
Jusung Engineering
Applied Materials
Other
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
Source: Fraunhofer IKTS
Trend in IP Filing for Spatial ALD
0%
5%
10%
15%
20%
25%
30%
35%
IP Applications for Spatial ALD
TEL NT333 for thermal and plasma
ALD of SiO2, SiN, High-k
Source: Fraunhofer IKTS
Wafer forecast – growth drivers for ALD & CVD
For more information on TECHCET’s Wafer reports
please go to www.techcet.com
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
• Advanced logic benefits as 10 nm
followed by 7 nm moves from
qualification into production
• Multiple patterning (SADP, SAQP)
for logic and memory
• Completion of the NAND to 3D-
NAND transition as 3D will
account for 2/3 of the capacity in
2018 (VLSI Research, April 2018)
• Continued high demand for DRAM
• China investments in new Fabs
and expansions continue for logic
and memory
• Niche memory technologies like
spin-transfer torque MRAM (STT-
MRAM), Resistive RAM (RRAM),
Ferroelectric FET (FeFET) and
RAM (FRAM), and Cross-Point
memory will grow over the coming
5 years
Dielectric & High-k Materials IP Trends
8
0
200
400
600
800
1000
1200
1400
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
High-k Material IP (Applications)
Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
0
500
1000
1500
2000
2500
3000
3500
4000
4500
5000
0%
10%
20%
30%
40%
50%
60%
70%
80%
90%
100%
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
Dielectric & High-k Process IP (Applications)
CVD PECVD ALD SOD PVD Total Dielectric Deposition
Al2O3
TiO2
HfO2
ZrO2REO
STO, BST, PZT
Ta2O5
ALD
PECVD
CVD
SOD
PVD
Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago.
Today most IP concerning the metaloxides of Al, Hf, Ti, Zr
and Rare Earth elements (SiO2 and SiN excluded)
ALD and PECVD are domianting filed IP in deposition of
dielectrics and high-k dielectrics (includeing SiO2 and SiN)
Source: Fraunhofer IKTS
Leading Semiconductor Materials Suppliers ($M’s)
Source:
TECHCET Critical Materials
Reports™
Excluding Silicon Wafers
0
200
400
600
800
1000
1200
1400
1600
1800
UpChem
Hayward
Tokuyama
Entegris
KFMI
Ferrotec
AZ/Merck
WonikQP
AsahiGlass
Wonik
Kyocera
DongWoo
Avantor
MacDermid
Kanto
Adeka
Fujimi
KMG
Honeywell
NGK
Heraeus/Shin-Etsu
Heraeus/Shin-Etsu
Tosoh
BASF
JXNippon
CoorsTek
AirProducts
Hitachi
TNSC-Matheson
Shin-Etsu-PR
TokyoOhkaKogyo
Sumitomo
Cabot
Versum
DowChem
Fujifilm
JSR
AirLiquide
Linde/Praxair
Leading Materials Suppliers 2017 Revenue / Ranking
Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals
CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo
Bulk/Rare
Gases
7%
Spec Gas
16% Liquide
Precursors
5%
Sputter
Targets
3%
Metal
Chemicals
(FE)
2%
Wet
Chemicals
8%CMP Pads &
Slurry
13%
Photoresists /
Ancillaries
27%
Eqt Parts: CMP
4%
Eqt Parts: Si
3%
Eqt Parts:
Quartz
5%
Eqt Parts: SiC /
Ceramics
7%
ALD/CVD Metal & High-k Precursors
10
TECHCET Critical Materials Report(TM)
• The combined markets for
ALD and CVD metal
precursors are estimated
to be approximately
US$460M 2018 and
above US$620M by 2023.
• Average long term CAGR
of 8% over 2013 to 2023
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
ALD/CVD/SOD Dielectric Precursors
• Dielectric precursors show
growth in all segments for the
next 5 years reaching US$660
in 2023 M
• As for metal precursors, the
market has over an extended
period of time had a double
digit growth and we expect the
market to continue to develop
as the transition to 10 nm and
7 nm node logic and 3D-NAND
take place with an average long
term CAGR of 9% over 2015 to
2023
11
TECHCET Critical Materials Report(TM)
TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
FinFET logic at the 10/7 nm node
Intel
10 nm
TSMC
7 nm
Samsung
7 nm
Globalfoundries
7 nm
Process Name P1276 (CPU), P1277
(SoC)
7FF, 7FF+, 7HPC 7LPE 7LP
1st Production 2018 1Q/2018 2019 Cancelled
Lithography 193 nm Immersion 193 nm Immersion
/EUV
EUV 193 nm Immersion
Exposure SAQP SAQP/SE SE SAQP
Contacted Gate
Pitch (CPP)
54 54 54 56
Minimum Metal
Pitch (MMP)
36 40 36 40
Source : WikiChip
Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
Multiple patterning
Critical Materials Conference, April 26-27, Phoenix AZ
David Bloss, Vice President, Technology Manufacturing Group and Director of
Lithography Technology Sourcing, Intel Corporation
Lithography beyond 7nm for <24nm pitch
• No single lithography
technology can create <24nm
pitch patterns in a single pass
except for direct-write e-beam
which is too slow and
expensive for HVM.
• Multi-patterning process flows
can be scompared by Cost Per
Wafer Pass (CPWP), a term
defined as the cost-of-
ownership (CoO) Modeling for the evaluation of alternate 1D and 2D
patterning paths
Source: Ed Korczynski, TECHCET
CMC Conference 2018, April 27 2018, Phoenix
ALD
Alternatives – Directed self assembly (DSA)
IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM
Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose.
Nature Electronics volume 1, pages562–569 (2018)
ALD
ALD ALD
I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND
HEALTH
∙
Critical Material Conference, Phoenix April 26th, 2018 •
THIS DOCUMENT IS ••PUBLIC
Cobalt applications and requirements
BEOL Cu metallization: 22nm →
Co capping layer
✓ Prevents Cu EM
Co liner
✓ Improve wettability
✓ Prevents voids
Source: Applied Materials Inc.
http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt
Challenges
• Conformality, void-free deposition
• Contact resistance
MOL contact fill: <10nm →
Cu
Low-k
Co liner
Co cap
Barrier
Seed
Source: Applied Materials Inc.
Challenges for <10nm integration
• Conformality (low stability precursors)
• Adhesion becomes more challenging
• Selectivity
7
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Cobalt IP Filing Trends for Chemical Compounds
Increased filing activity for Cobalt chemical compounds starting
2012. Applications focusing on Li-batteries & Electronic
applications (Plating chemicals, Precursors)
2012
Prices for Cobalt cathodes have increased by 60%
in 2017 but appear to be stabilized but volatile.
Higher demand from Cobalt use in Electric Vechicles
Competeing Industries
Competing industries for ALD precursors and the most important
industries in high volume manufacturing today are:
• Non-Semi Wafer based (e.g. MEMS and LED)
• QD-OLED and AMOLED Flexible display
• Photovoltaic
• R&D Equipment
20
From an ALD equipment perspective these markets account for 10 to
15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series
OLED Encapsluation
6G half (1500mmX925mm)
PV – Back side passivation for PERC cells
driving TMA use in PECVD & ALD
Equipment & Process Market Share Estimate (%)
OEM Process
TMA
consumption
[mg / wafer]
2017 2018 2020 2022 2025 2028
Fullshare PECVD 9
90 92 86 87 87 83Centrotherm PECVD 5
Meyer Burger PECVD 2.9
Ideal Energy ALD 4
6 6 11 12 13 16
SoLayTec ALD 3
NCD ALD 2
Levitech Spatial ALD 1.6
Leadmicro Spatial ALD 1
Other PECVD SiNx n/a 4 2 2 1 0 0
21
TMA consumption and Al2O3 thickness for APCVD, PECVD
and ALD Tools (Adapted from TaiyangNews 2018).
The low TMA consumption is a reason why ALD tools
are slowly taking market share from PECVD, especially
in China
MEMS
In MEMS applications that consist of numerous 3D structures, narrow
cavities and the need of conformal coatings even in large buried
structures ALD is optimal for deposition in of layers with very specific
properties or combination thereof:
info@techcet.com 22
Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones)
Leading MEMS and companies have implemented ALD i HVM
o Diffusion barriers
o Adhesion layers
o Charge dissipative layers
o Layers lowering frictional wear
o Optical layers
o Coatings for hermetical sealing
o Hydrophobic layers to decrease stiction
o Conformal, thermally conductive layers
o Conductive seed layers for plating purposes
o Etch masks and etch stop layers
o Conformal, electrically insulating layers
New 200 mm ALD Wafer
Cluster Tools
Picosun
Singel Wafer
Mini Batch
Veeco
Mini Batch
Beneq
Mini Batch
Oxford Instruments
PlasmaPro Cluster PECVD, ALD & ALE
Contact:
jonas.sundqvist@ikts.fraunhofer.de
jsundqvist@techcet.com
Thank you!

More Related Content

What's hot

Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Yole Developpement
 
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Beneq
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Yole Developpement
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Yole Developpement
 
ALD_Kessels.pdf
ALD_Kessels.pdfALD_Kessels.pdf
ALD_Kessels.pdf
UC Berkeley
 
Introduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, futureIntroduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, future
Riikka Puurunen
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
Yole Developpement
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
Yole Developpement
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
Stanford University
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
cdtpv
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
Yole Developpement
 
Pure ozone generator process with pog(english)20220119
Pure ozone generator process with pog(english)20220119Pure ozone generator process with pog(english)20220119
Pure ozone generator process with pog(english)20220119
ssuser65762c
 
Robust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED EncapsulationRobust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED Encapsulation
Beneq
 
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole DeveloppementGaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
Yole Developpement
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
Yole Developpement
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
Yole Developpement
 
Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growth
kriticka sharma
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Yole Developpement
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
Yole Developpement
 

What's hot (20)

Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
 
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production ScaleRoll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
Roll-to-Roll ALD Coatings for Battery Cell Interfaces at Production Scale
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
 
ALD_Kessels.pdf
ALD_Kessels.pdfALD_Kessels.pdf
ALD_Kessels.pdf
 
Introduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, futureIntroduction to atomic layer deposition (ALD): principles, applications, future
Introduction to atomic layer deposition (ALD): principles, applications, future
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
Pure ozone generator process with pog(english)20220119
Pure ozone generator process with pog(english)20220119Pure ozone generator process with pog(english)20220119
Pure ozone generator process with pog(english)20220119
 
Robust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED EncapsulationRobust and Reliable Thin-Film OLED Encapsulation
Robust and Reliable Thin-Film OLED Encapsulation
 
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole DeveloppementGaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growth
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 

Similar to ALD/CVD applications, equipment and precursors in high volume manufacturing

Gnkaerospace 3d 2014
Gnkaerospace 3d 2014 Gnkaerospace 3d 2014
Gnkaerospace 3d 2014
Alexander Savostyanov
 
GNK Aerospace 2014
GNK Aerospace 2014GNK Aerospace 2014
GNK Aerospace 2014
Dmitry Tseitlin
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009168report
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
CHENHuiMei
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022
Beneq
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolio
Ivana Ivanovska
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Yole Developpement
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinar
Randall Ghany
 
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor ProcessingCeramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
CoorsTek, Inc.
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and Manufacturing
Toradex
 
GaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation FlyerGaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation Flyer
Knowmade
 
Will metal oxide compound the problem
Will metal oxide compound the problemWill metal oxide compound the problem
Will metal oxide compound the problem
Ian Hendy
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Yole Developpement
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
Beneq
 
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KEMET Electronics Corporation
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key Sectors
JIC
 
7 growth opportunities 2012
7 growth opportunities 20127 growth opportunities 2012
7 growth opportunities 2012
Ian Hendy
 
The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdf
Beneq
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)
Gridlogics
 
Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...
Yole Developpement
 

Similar to ALD/CVD applications, equipment and precursors in high volume manufacturing (20)

Gnkaerospace 3d 2014
Gnkaerospace 3d 2014 Gnkaerospace 3d 2014
Gnkaerospace 3d 2014
 
GNK Aerospace 2014
GNK Aerospace 2014GNK Aerospace 2014
GNK Aerospace 2014
 
Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009Semiconductor equipment industry report, 2009
Semiconductor equipment industry report, 2009
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 
Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022Product Showcase: Battery Show Europe 2022
Product Showcase: Battery Show Europe 2022
 
KEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolioKEMET Webinar - Update on ESA and military standard portfolio
KEMET Webinar - Update on ESA and military standard portfolio
 
Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...Lithography technology and trends for « Semiconductor frontier » held by Aman...
Lithography technology and trends for « Semiconductor frontier » held by Aman...
 
Automotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinarAutomotive polymers extensions to 150 c webinar
Automotive polymers extensions to 150 c webinar
 
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor ProcessingCeramic Solutions Enabling the Evolution of Semiconductor Processing
Ceramic Solutions Enabling the Evolution of Semiconductor Processing
 
Technological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and ManufacturingTechnological Trends in the Field of Circuit Board Design and Manufacturing
Technological Trends in the Field of Circuit Board Design and Manufacturing
 
GaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation FlyerGaN on Silicon Patent Investigation Flyer
GaN on Silicon Patent Investigation Flyer
 
Will metal oxide compound the problem
Will metal oxide compound the problemWill metal oxide compound the problem
Will metal oxide compound the problem
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
 
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
KO-CAP for High-Reliability Applications (Space Tech Expo 2017)
 
Impact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key SectorsImpact Assessment of Graphene in Key Sectors
Impact Assessment of Graphene in Key Sectors
 
7 growth opportunities 2012
7 growth opportunities 20127 growth opportunities 2012
7 growth opportunities 2012
 
The Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdfThe Battery Show Japan Showcase Presentation.pdf
The Battery Show Japan Showcase Presentation.pdf
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)
 
Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...Technology market trends in LED downconverters presentation held by Eric Vire...
Technology market trends in LED downconverters presentation held by Eric Vire...
 

Recently uploaded

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
Kamal Acharya
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
DuvanRamosGarzon1
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
MuhammadTufail242431
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
Kamal Acharya
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 

Recently uploaded (20)

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 

ALD/CVD applications, equipment and precursors in high volume manufacturing

  • 1. ALD/CVD applications, equipment and precursors in high volume manufacturing Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC 0 500 1000 1500 0% 20% 40% 60% 80% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total
  • 2. Introduction of ALD in 300mm HVM 200mm
  • 3. CMC Seminar / ICMtia Joint Conf 10/2018 The driver behind ALD & CVD 300mm Equipment fab invest
  • 4. ALD Equipment Market <25% of the total CVD Wafer Equipment market • Tokyo Electron has passed ASM in revenue 2017 • 90% of the market is 300mm wafer processing equipment • TEL and Hitachi Kokusai dominates Large Batch ALD • 300 mm Spatial ALD and Multi wafer tools continues taking market shares vs. Single wafer & Large Batch 4 25% 24% 16% 11% 7% 5% 3% 9% ALD Equipment Market Estimate 2017 US$ 1.8 to 1.9 B (Semi, PV, Display, MEMS, R&D) Tokyo Electron ASM International Hitachi Kokusai Electric Lam Research Wonik IPS Jusung Engineering Applied Materials Other
  • 5. Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD Source: Fraunhofer IKTS
  • 6. Trend in IP Filing for Spatial ALD 0% 5% 10% 15% 20% 25% 30% 35% IP Applications for Spatial ALD TEL NT333 for thermal and plasma ALD of SiO2, SiN, High-k Source: Fraunhofer IKTS
  • 7. Wafer forecast – growth drivers for ALD & CVD For more information on TECHCET’s Wafer reports please go to www.techcet.com CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo • Advanced logic benefits as 10 nm followed by 7 nm moves from qualification into production • Multiple patterning (SADP, SAQP) for logic and memory • Completion of the NAND to 3D- NAND transition as 3D will account for 2/3 of the capacity in 2018 (VLSI Research, April 2018) • Continued high demand for DRAM • China investments in new Fabs and expansions continue for logic and memory • Niche memory technologies like spin-transfer torque MRAM (STT- MRAM), Resistive RAM (RRAM), Ferroelectric FET (FeFET) and RAM (FRAM), and Cross-Point memory will grow over the coming 5 years
  • 8. Dielectric & High-k Materials IP Trends 8 0 200 400 600 800 1000 1200 1400 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 Dielectric & High-k Process IP (Applications) CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 ZrO2REO STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago. Today most IP concerning the metaloxides of Al, Hf, Ti, Zr and Rare Earth elements (SiO2 and SiN excluded) ALD and PECVD are domianting filed IP in deposition of dielectrics and high-k dielectrics (includeing SiO2 and SiN) Source: Fraunhofer IKTS
  • 9. Leading Semiconductor Materials Suppliers ($M’s) Source: TECHCET Critical Materials Reports™ Excluding Silicon Wafers 0 200 400 600 800 1000 1200 1400 1600 1800 UpChem Hayward Tokuyama Entegris KFMI Ferrotec AZ/Merck WonikQP AsahiGlass Wonik Kyocera DongWoo Avantor MacDermid Kanto Adeka Fujimi KMG Honeywell NGK Heraeus/Shin-Etsu Heraeus/Shin-Etsu Tosoh BASF JXNippon CoorsTek AirProducts Hitachi TNSC-Matheson Shin-Etsu-PR TokyoOhkaKogyo Sumitomo Cabot Versum DowChem Fujifilm JSR AirLiquide Linde/Praxair Leading Materials Suppliers 2017 Revenue / Ranking Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo Bulk/Rare Gases 7% Spec Gas 16% Liquide Precursors 5% Sputter Targets 3% Metal Chemicals (FE) 2% Wet Chemicals 8%CMP Pads & Slurry 13% Photoresists / Ancillaries 27% Eqt Parts: CMP 4% Eqt Parts: Si 3% Eqt Parts: Quartz 5% Eqt Parts: SiC / Ceramics 7%
  • 10. ALD/CVD Metal & High-k Precursors 10 TECHCET Critical Materials Report(TM) • The combined markets for ALD and CVD metal precursors are estimated to be approximately US$460M 2018 and above US$620M by 2023. • Average long term CAGR of 8% over 2013 to 2023 TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
  • 11. ALD/CVD/SOD Dielectric Precursors • Dielectric precursors show growth in all segments for the next 5 years reaching US$660 in 2023 M • As for metal precursors, the market has over an extended period of time had a double digit growth and we expect the market to continue to develop as the transition to 10 nm and 7 nm node logic and 3D-NAND take place with an average long term CAGR of 9% over 2015 to 2023 11 TECHCET Critical Materials Report(TM) TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com
  • 12. FinFET logic at the 10/7 nm node Intel 10 nm TSMC 7 nm Samsung 7 nm Globalfoundries 7 nm Process Name P1276 (CPU), P1277 (SoC) 7FF, 7FF+, 7HPC 7LPE 7LP 1st Production 2018 1Q/2018 2019 Cancelled Lithography 193 nm Immersion 193 nm Immersion /EUV EUV 193 nm Immersion Exposure SAQP SAQP/SE SE SAQP Contacted Gate Pitch (CPP) 54 54 54 56 Minimum Metal Pitch (MMP) 36 40 36 40 Source : WikiChip
  • 13. Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 14. Multiple patterning Critical Materials Conference, April 26-27, Phoenix AZ David Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation
  • 15. Lithography beyond 7nm for <24nm pitch • No single lithography technology can create <24nm pitch patterns in a single pass except for direct-write e-beam which is too slow and expensive for HVM. • Multi-patterning process flows can be scompared by Cost Per Wafer Pass (CPWP), a term defined as the cost-of- ownership (CoO) Modeling for the evaluation of alternate 1D and 2D patterning paths Source: Ed Korczynski, TECHCET CMC Conference 2018, April 27 2018, Phoenix ALD
  • 16. Alternatives – Directed self assembly (DSA) IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose. Nature Electronics volume 1, pages562–569 (2018) ALD ALD ALD
  • 17. I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND HEALTH ∙ Critical Material Conference, Phoenix April 26th, 2018 • THIS DOCUMENT IS ••PUBLIC Cobalt applications and requirements BEOL Cu metallization: 22nm → Co capping layer ✓ Prevents Cu EM Co liner ✓ Improve wettability ✓ Prevents voids Source: Applied Materials Inc. http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt Challenges • Conformality, void-free deposition • Contact resistance MOL contact fill: <10nm → Cu Low-k Co liner Co cap Barrier Seed Source: Applied Materials Inc. Challenges for <10nm integration • Conformality (low stability precursors) • Adhesion becomes more challenging • Selectivity 7
  • 18. Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile.
  • 19. Cobalt IP Filing Trends for Chemical Compounds Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors) 2012 Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile. Higher demand from Cobalt use in Electric Vechicles
  • 20. Competeing Industries Competing industries for ALD precursors and the most important industries in high volume manufacturing today are: • Non-Semi Wafer based (e.g. MEMS and LED) • QD-OLED and AMOLED Flexible display • Photovoltaic • R&D Equipment 20 From an ALD equipment perspective these markets account for 10 to 15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series OLED Encapsluation 6G half (1500mmX925mm)
  • 21. PV – Back side passivation for PERC cells driving TMA use in PECVD & ALD Equipment & Process Market Share Estimate (%) OEM Process TMA consumption [mg / wafer] 2017 2018 2020 2022 2025 2028 Fullshare PECVD 9 90 92 86 87 87 83Centrotherm PECVD 5 Meyer Burger PECVD 2.9 Ideal Energy ALD 4 6 6 11 12 13 16 SoLayTec ALD 3 NCD ALD 2 Levitech Spatial ALD 1.6 Leadmicro Spatial ALD 1 Other PECVD SiNx n/a 4 2 2 1 0 0 21 TMA consumption and Al2O3 thickness for APCVD, PECVD and ALD Tools (Adapted from TaiyangNews 2018). The low TMA consumption is a reason why ALD tools are slowly taking market share from PECVD, especially in China
  • 22. MEMS In MEMS applications that consist of numerous 3D structures, narrow cavities and the need of conformal coatings even in large buried structures ALD is optimal for deposition in of layers with very specific properties or combination thereof: info@techcet.com 22 Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones) Leading MEMS and companies have implemented ALD i HVM o Diffusion barriers o Adhesion layers o Charge dissipative layers o Layers lowering frictional wear o Optical layers o Coatings for hermetical sealing o Hydrophobic layers to decrease stiction o Conformal, thermally conductive layers o Conductive seed layers for plating purposes o Etch masks and etch stop layers o Conformal, electrically insulating layers
  • 23. New 200 mm ALD Wafer Cluster Tools Picosun Singel Wafer Mini Batch Veeco Mini Batch Beneq Mini Batch Oxford Instruments PlasmaPro Cluster PECVD, ALD & ALE