SlideShare a Scribd company logo
1 of 9
Download to read offline
Ôn tập thi cuối - 1 Trang 1
THIẾT KẾ LUẬN LÝ 1
Câu 1.
Chứng minh:
a. X’Y’ + X’Y + X Y = X’ + Y
b. A’B + B’C’ + AB + B’C = 1
c. Y + X’Z + XY’ = X + Y + Z
d. X’Y’ + Y’Z + XZ + XY + YZ’ = X’Y’ + XZ + YZ’
e. X’Y + Y’Z + XZ’ = XY’ + YZ’ + X’Z
f. AB’ + A’C’D’ + A’B’D + A’B’CD’ = B’ + A’C’D’
g. XZ + WY’Z’ + W’YZ’ + WX’Z’ =
XZ + WY’Z’ + WXY’ + W’XY + X’YZ’
e. CD + AB’ + AC + A’C’ + A’B + C’D’ =
(A’ + B’ + C + D’)(A + B + C’ + D)
Câu 2.
Rút gọn các biểu thức sau:
a. ABC + ABC’ + A’B
b. (A + B)’ (A’ + B’)
c. A’BC + AC
d. BC + B(AD + AD’)
e. (A + B’ + AB’)(AB + A’C + BC)
f. X’Y’ + XYZ + X’Y
g. X + Y(Z + (Y + Z)’)
h. W’X(Z’ +Y’Z) + X(W + W’YZ)
i. (AB + A’B’)(C’D’ + CD) + (AC)’
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 2
Câu 3. Cho mạch sau, anh chị hãy điền đầy đủ vào bản thực trị bên dưới.(0.5đ)
Z
C
B
A 74LS32
74LS08
C B A Z
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Câu 4. Cho sơ đồ mạch sau, hãy điền đầy đủ vào bảng thực trị cho bên
dưới.(0.5đ)
Clear
Set
Qn
Q
CLK
Set Clear CLK Q
0 0
0 1
1 0
1 1
Câu 5. Cho sơ đồ mạch sau, hãy điền đầy đủ vào bảng thực trị cho bên
dưới.(0.5đ) (cho biết CLK tích cực cạnh lên hay cạnh xuống bằng cách vẽ mũi
tên lên hay xuống).
Q
SET
CLK
CLEAR
NOT
74LS04
NOT
74LS04
NOR
74LS02
NOR
74LS02
NOR
74LS02
NOR
74LS02
OR
74LS32
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 3
Set Clear CLK Q
0 0
0 1
1 0
1 1
Câu 6. Dùng JKFF thiết kế bộ đếm đồng bộ sau: 0000 -> 0010 -> 0101->0110->1000 -
>1010 ->1111 ->0000.....
Các trạng thái còn lại sẽ quay về trạng thái 000 khi có xung clock kế tiếp.
Câu 7.
Ban đầu cho DCBA = 0000.
a. Xác định giá trị của DCBA khi có 15 xung clock:
DCBA = ............1111
b. Xác định giá trị của DCBA khi có 100 xung clock:
DCBA = .......0100
c. Xác định giá trị của DCBA khi có 256 xung clock:
DCBA = ......0000
d. Xác định giá trị của DCBA khi có 1000 xung clock:
DCBA = ......1000
Câu 8.
Tín hiệu clock là xung vuông 8Mhz
a. Tần số của tín hiệu D = ........0.5..........
b. Duty cycle của tín hiệu D = ........50%...........................
c. Tần số của tín hiệu C = .........1................
d. Bộ đếm này MOD bao nhiêu? ......16.............
Nếu tín hiệu clock có tần số vẫn là 8Mhz, nhưng Duty cycle là 20%
e. Tần số của tín hiệu D = ..............8/(5^4)................
f. Duty cycle của tín hiệu D = ........60%..........
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 4
Câu 9. Thiết kế mạch điều khiển dây chuyền đếm sản phẩm. Mỗi khi có một
sản phẩm đi qua cảm biến hồng ngoại sẽ cho tín hiệu cảm biến X = 0 và bộ
đếm đếm lên 1 đơn vị. Khi bộ đếm đếm đủ 10 sản phẩm sẽ xuất tín hiệu ra Z
= 1 , sau đó bộ đếm về 0 và đếm lại từ đầu.
Câu 10. Cho mạch sau
Cho CBA = 000, hãy xác định chuỗi các trạng thái của bộ đếm trên.
000100->011->010->001->000->100
Câu 11. Cho mạch sau
Ban đầu cho DCBA = 0000, hãy xác định các trạng thái của bộ đếm.
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 5
Câu 12.
thiết kế 1 mạch tổ hợp tổ hợp như hình trên (chỉ vẽ mạch).
Ngõ nhập X1, X0, Y1, Y0 và C.
Ngõ xuất.: M, N
Yêu cầu:
LED N sáng khi C=0 và ( X1X0 = Y1Y0 hay X1X0 = Y0Y1)
LED M sáng khi C=1 và ( X1 khác Y1 và X0 khác Y0 hay X1#Y0 và X0#Y1)
Câu 13. Dùng JK-FF thiết kế bộ đếm đồng bộ sau: 00 -> 10 -> 11->01->00
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
Câu 14. Dùng D-FF thiết kế bộ đếm đồng bộ sau: 00 -> 10 -> 11->01->00
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
Câu 15. Sử dụng 3 JK-FF để thiết kế bộ đếm lên bất đồng bộ MOD 7
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 6
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
Câu 16. Sử dụng 3 D-FF để thiết kế bộ đếm xuống bất đồng bộ MOD 6
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
Câu 17.
Cho bộ đếm bất đồng bộ sau:
CLK
A B C D
U14A
+V
V3
5V
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
J
CP
K
R
Q
_
Q
74LS73
Đây là bộ đếm Mod bao nhiêu?............
Trong các tín hiệu ngõ ra của A, B, C, D, tín hiệu nào bị xung gai?........
Cho biết tần số của tín hiệu xung B bằng bao nhiêu lần xung CLK?.........
Cho biết tần số của tín hiệu xung D bằng bao nhiêu lần xung CLK?........
Câu 18.
Cho bộ đếm bất đồng bộ sau:
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 7
CLK
A B C D
+V
V4
5V
U14B
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
S
D
CP
R
Q
_
Q
Đây là bộ đếm Mod bao nhiêu?............
Trong các tín hiệu ngõ ra của A, B, C, D, tín hiệu nào bị xung gai?........
Cho biết tần số của tín hiệu xung B bằng bao nhiêu lần xung CLK?.........
Cho biết tần số của tín hiệu xung D bằng bao nhiêu lần xung CLK?.........
Câu 19. Sử dụng 2 IC 74LS293 thiết kế mạch chia tần số 30.
Câu 20. Tối giản các hàm sau: (4 đ). Cho biết thứ tự trọng số cao – thấp:
D, C, B, A
F1 = ∑ (0, 1, 3, 7, 9, 10, 11, 13)
F2 = ∑ (0, 1, 3, 4, 8, 9, 10, 13, 14)
F3 = ∑ (0, 1, 3, 4, 5, 6, 7, 8, 9, 11,12)
F4 = ∑ (0, 1, 2, 3, 4, 5, 6, 8) + ∑d (7,
12, 13)
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 8
F1 =…………………………………..F2 =…………………………………….
………………………………………. …………………………………………
F3 =…………………………………..F4 =…………………………………….
………………………………………. …………………………………………
Câu 21. Người ta thiết kế một phòng họp có 3 cửa ra vào A, B, C. Tại mỗi cửa
đều có một công tắc 2 trạng thái ON, OFF. Hãy thiết kế hàm đại số luận lý (F)
của đèn ở giữa phòng họp theo 3 công tắc A, B, C sao cho người ta có thể bật
tắt đèn ở bất cứ cửa nào của phòng họp. (1đ)
F =
…………………………………………………………………………………
……
Câu 22. Hãy thiết kế mạch luận lý Multiplexer 2 ngõ nhập A, B một tín hiệu
Selector (S) và một ngõ ra F sao cho F = A nếu S = 1 và F = B nếu S = 0 .(1đ)
CuuDuongThanCong.com
Ôn tập thi cuối - 1 Trang 9
Câu 23. Sử dụng 2 IC 74293 thiết kế bộ đếm bất đồng bộ MOD 30
CuuDuongThanCong.com

More Related Content

Similar to he-thong-so_tran-ngoc-thinh_on-tap-cuoi-ky-tkll1_2011 - [cuuduongthancong.com].pdf

4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5
4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 54 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5
4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5Bồi Dưỡng HSG Toán Lớp 3
 
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUS
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUSHướng dẫn giải toán trên máy tính Casio fx-570VN PLUS
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUSBình Tây Bitex
 
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdf
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdfTongHop_TestQuizCSKTLT_TrangDT_62 cau.pdf
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdflinhly42
 
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau kho
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau khoTai lieu rat hay ve chuyen de tinh tong chuoi so hay cau kho
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau khoNguyễn Nhật Chung
 
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdf
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdftai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdf
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdfNhmnth
 
đề Thi tuyển sinh vào 10 năm 2013 cần thơ
đề Thi tuyển sinh vào 10 năm 2013 cần thơđề Thi tuyển sinh vào 10 năm 2013 cần thơ
đề Thi tuyển sinh vào 10 năm 2013 cần thơdiemthic3
 
Nmlt c16 ky_thuatlaptrinhdequy
Nmlt c16 ky_thuatlaptrinhdequyNmlt c16 ky_thuatlaptrinhdequy
Nmlt c16 ky_thuatlaptrinhdequyMinh Ngoc Tran
 
Toan pt.de097.2011
Toan pt.de097.2011Toan pt.de097.2011
Toan pt.de097.2011BẢO Hí
 
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁN
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁNÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁN
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁNBồi Dưỡng HSG Toán Lớp 3
 
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri Phương
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri PhươngĐề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri Phương
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri PhươngCông Ty TNHH VIETTRIGROUP
 
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quoc
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quocKy nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quoc
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quocNguyenDucThuan9
 
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy ThíchBồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy ThíchBồi dưỡng Toán lớp 6
 
[Vnmath.com] de thi thpt qg 2015 quynh luu 3
[Vnmath.com]  de thi thpt qg 2015 quynh luu 3[Vnmath.com]  de thi thpt qg 2015 quynh luu 3
[Vnmath.com] de thi thpt qg 2015 quynh luu 3Dang_Khoi
 
Bài tập Xác suất thống kê
Bài tập Xác suất thống kêBài tập Xác suất thống kê
Bài tập Xác suất thống kêHọc Huỳnh Bá
 

Similar to he-thong-so_tran-ngoc-thinh_on-tap-cuoi-ky-tkll1_2011 - [cuuduongthancong.com].pdf (20)

4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5
4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 54 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5
4 ĐỀ KHẢO SÁT CHẤT LƯỢNG ĐẦU NĂM MÔN TOÁN LỚP 5
 
Đề Thi HK2 Toán 9 - THCS Chu Văn An
Đề Thi HK2 Toán 9 - THCS  Chu Văn AnĐề Thi HK2 Toán 9 - THCS  Chu Văn An
Đề Thi HK2 Toán 9 - THCS Chu Văn An
 
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUS
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUSHướng dẫn giải toán trên máy tính Casio fx-570VN PLUS
Hướng dẫn giải toán trên máy tính Casio fx-570VN PLUS
 
Đề Thi HK2 Toán 9 - THCS Chu Văn An Quận 11
Đề Thi HK2 Toán 9 - THCS  Chu Văn An Quận 11Đề Thi HK2 Toán 9 - THCS  Chu Văn An Quận 11
Đề Thi HK2 Toán 9 - THCS Chu Văn An Quận 11
 
De thixstk qhtn_201608
De thixstk qhtn_201608De thixstk qhtn_201608
De thixstk qhtn_201608
 
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdf
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdfTongHop_TestQuizCSKTLT_TrangDT_62 cau.pdf
TongHop_TestQuizCSKTLT_TrangDT_62 cau.pdf
 
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau kho
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau khoTai lieu rat hay ve chuyen de tinh tong chuoi so hay cau kho
Tai lieu rat hay ve chuyen de tinh tong chuoi so hay cau kho
 
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdf
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdftai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdf
tai-lieu-on-tap-he-mon-toan-lop-7-len-8-co-dap-an.pdf
 
đề Thi tuyển sinh vào 10 năm 2013 cần thơ
đề Thi tuyển sinh vào 10 năm 2013 cần thơđề Thi tuyển sinh vào 10 năm 2013 cần thơ
đề Thi tuyển sinh vào 10 năm 2013 cần thơ
 
Đề Thi HK2 Toán 9 - THCS An Phú Đông
Đề Thi HK2 Toán 9 - THCS  An Phú ĐôngĐề Thi HK2 Toán 9 - THCS  An Phú Đông
Đề Thi HK2 Toán 9 - THCS An Phú Đông
 
Nmlt c16 ky_thuatlaptrinhdequy
Nmlt c16 ky_thuatlaptrinhdequyNmlt c16 ky_thuatlaptrinhdequy
Nmlt c16 ky_thuatlaptrinhdequy
 
Toan pt.de097.2011
Toan pt.de097.2011Toan pt.de097.2011
Toan pt.de097.2011
 
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁN
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁNÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁN
ÔN THI HK2 TOÁN LỚP 3 THEO 4 MỨC CỦA TT22 CÓ ĐÁP ÁN
 
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri Phương
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri PhươngĐề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri Phương
Đề Thi Hk2 Toán 7 - TH - THCS - THPT Nguyễn Tri Phương
 
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quoc
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quocKy nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quoc
Ky nang-co-ban-su-dung-may-tinh-cam-tay-casio-giai-nhanh-toan-10-pham-phu-quoc
 
Bồi dưỡng HSG môn toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng HSG môn toán lớp 7 qua 16 chuyên đề - Thầy ThíchBồi dưỡng HSG môn toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng HSG môn toán lớp 7 qua 16 chuyên đề - Thầy Thích
 
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy ThíchBồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy Thích
Bồi dưỡng nâng cao HSG Toán lớp 7 qua 16 chuyên đề - Thầy Thích
 
[Vnmath.com] de thi thpt qg 2015 quynh luu 3
[Vnmath.com]  de thi thpt qg 2015 quynh luu 3[Vnmath.com]  de thi thpt qg 2015 quynh luu 3
[Vnmath.com] de thi thpt qg 2015 quynh luu 3
 
Bài tập Xác suất thống kê
Bài tập Xác suất thống kêBài tập Xác suất thống kê
Bài tập Xác suất thống kê
 
Tuyển tập 100 đề thi HSG Toán lớp 7 có đáp án chi tiết - Thầy Thích
Tuyển tập 100 đề thi HSG Toán lớp 7 có đáp án chi tiết - Thầy ThíchTuyển tập 100 đề thi HSG Toán lớp 7 có đáp án chi tiết - Thầy Thích
Tuyển tập 100 đề thi HSG Toán lớp 7 có đáp án chi tiết - Thầy Thích
 

Recently uploaded

Đề thi tin học HK2 lớp 3 Chân Trời Sáng Tạo
Đề thi tin học HK2 lớp 3 Chân Trời Sáng TạoĐề thi tin học HK2 lớp 3 Chân Trời Sáng Tạo
Đề thi tin học HK2 lớp 3 Chân Trời Sáng Tạowindcances
 
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...Nguyen Thanh Tu Collection
 
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...lamluanvan.net Viết thuê luận văn
 
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận Hạn
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận HạnTử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận Hạn
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận HạnKabala
 
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...Nguyen Thanh Tu Collection
 
Quản trị cơ sở Giáo dục nghề nghiệp
Quản trị cơ sở Giáo dục nghề nghiệpQuản trị cơ sở Giáo dục nghề nghiệp
Quản trị cơ sở Giáo dục nghề nghiệpaminh0502
 
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa học
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa họcChương 6: Dân tộc - Chủ nghĩa xã hội khoa học
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa họchelenafalet
 
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdfGiáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf4pdx29gsr9
 
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...lamluanvan.net Viết thuê luận văn
 
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...lamluanvan.net Viết thuê luận văn
 
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...Nguyen Thanh Tu Collection
 
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...Nguyen Thanh Tu Collection
 
Bài học phòng cháy chữa cháy - PCCC tại tòa nhà
Bài học phòng cháy chữa cháy - PCCC tại tòa nhàBài học phòng cháy chữa cháy - PCCC tại tòa nhà
Bài học phòng cháy chữa cháy - PCCC tại tòa nhàNguyen Thi Trang Nhung
 
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...lamluanvan.net Viết thuê luận văn
 
C.pptx. Phát hiện biên ảnh trong xử lý ảnh
C.pptx. Phát hiện biên ảnh trong xử lý ảnhC.pptx. Phát hiện biên ảnh trong xử lý ảnh
C.pptx. Phát hiện biên ảnh trong xử lý ảnhBookoTime
 
Everybody Up 1 - Unit 5 - worksheet grade 1
Everybody Up 1 - Unit 5 - worksheet grade 1Everybody Up 1 - Unit 5 - worksheet grade 1
Everybody Up 1 - Unit 5 - worksheet grade 1mskellyworkmail
 
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.ppt
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.pptNHững vấn đề chung về Thuế Tiêu thụ đặc biệt.ppt
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.pptphanai
 
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...lamluanvan.net Viết thuê luận văn
 

Recently uploaded (20)

Đề thi tin học HK2 lớp 3 Chân Trời Sáng Tạo
Đề thi tin học HK2 lớp 3 Chân Trời Sáng TạoĐề thi tin học HK2 lớp 3 Chân Trời Sáng Tạo
Đề thi tin học HK2 lớp 3 Chân Trời Sáng Tạo
 
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...
ĐỀ KIỂM TRA CUỐI KÌ 2 BIÊN SOẠN THEO ĐỊNH HƯỚNG ĐỀ BGD 2025 MÔN TOÁN 11 - CÁN...
 
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...
Tiểu luận tổng quan về Mối quan hệ giữa chu kỳ kinh tế và đầu tư trong nền ki...
 
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận Hạn
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận HạnTử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận Hạn
Tử Vi Là Gì Học Luận Giải Tử Vi Và Luận Đoán Vận Hạn
 
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
 
Luận Văn: HOÀNG TỬ BÉ TỪ GÓC NHÌN CẢI BIÊN HỌC
Luận Văn: HOÀNG TỬ BÉ TỪ GÓC NHÌN CẢI BIÊN HỌCLuận Văn: HOÀNG TỬ BÉ TỪ GÓC NHÌN CẢI BIÊN HỌC
Luận Văn: HOÀNG TỬ BÉ TỪ GÓC NHÌN CẢI BIÊN HỌC
 
Trích dẫn theo Harvard với Microsoft Word
Trích dẫn theo Harvard với Microsoft WordTrích dẫn theo Harvard với Microsoft Word
Trích dẫn theo Harvard với Microsoft Word
 
Quản trị cơ sở Giáo dục nghề nghiệp
Quản trị cơ sở Giáo dục nghề nghiệpQuản trị cơ sở Giáo dục nghề nghiệp
Quản trị cơ sở Giáo dục nghề nghiệp
 
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa học
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa họcChương 6: Dân tộc - Chủ nghĩa xã hội khoa học
Chương 6: Dân tộc - Chủ nghĩa xã hội khoa học
 
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdfGiáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf
Giáo trình xây dựng thực đơn. Ths Hoang Ngoc Hien.pdf
 
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...
PHIẾU KHẢO SÁT MỨC ĐỘ HÀI LÒNG VỀ CHẤT LƯỢNG DỊCH VỤ VẬN CHUYỂN HÀNG KHÁCH BẰ...
 
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...
Mở rộng hoạt động cho vay tiêu dùng tại Ngân hàng TMCP Hàng Hải Việt Nam (Mar...
 
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
30 ĐỀ PHÁT TRIỂN THEO CẤU TRÚC ĐỀ MINH HỌA BGD NGÀY 22-3-2024 KỲ THI TỐT NGHI...
 
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...
TỔNG HỢP HƠN 100 ĐỀ THI THỬ TỐT NGHIỆP THPT TOÁN 2024 - TỪ CÁC TRƯỜNG, TRƯỜNG...
 
Bài học phòng cháy chữa cháy - PCCC tại tòa nhà
Bài học phòng cháy chữa cháy - PCCC tại tòa nhàBài học phòng cháy chữa cháy - PCCC tại tòa nhà
Bài học phòng cháy chữa cháy - PCCC tại tòa nhà
 
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...
Luận văn 2024 Thực trạng và giải pháp nâng cao hiệu quả công tác quản lý hành...
 
C.pptx. Phát hiện biên ảnh trong xử lý ảnh
C.pptx. Phát hiện biên ảnh trong xử lý ảnhC.pptx. Phát hiện biên ảnh trong xử lý ảnh
C.pptx. Phát hiện biên ảnh trong xử lý ảnh
 
Everybody Up 1 - Unit 5 - worksheet grade 1
Everybody Up 1 - Unit 5 - worksheet grade 1Everybody Up 1 - Unit 5 - worksheet grade 1
Everybody Up 1 - Unit 5 - worksheet grade 1
 
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.ppt
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.pptNHững vấn đề chung về Thuế Tiêu thụ đặc biệt.ppt
NHững vấn đề chung về Thuế Tiêu thụ đặc biệt.ppt
 
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...
NHẬN XÉT LUẬN VĂN THẠC SĨ: Các nhân tố ảnh hưởng đến hiệu quả hoạt động của n...
 

he-thong-so_tran-ngoc-thinh_on-tap-cuoi-ky-tkll1_2011 - [cuuduongthancong.com].pdf

  • 1. Ôn tập thi cuối - 1 Trang 1 THIẾT KẾ LUẬN LÝ 1 Câu 1. Chứng minh: a. X’Y’ + X’Y + X Y = X’ + Y b. A’B + B’C’ + AB + B’C = 1 c. Y + X’Z + XY’ = X + Y + Z d. X’Y’ + Y’Z + XZ + XY + YZ’ = X’Y’ + XZ + YZ’ e. X’Y + Y’Z + XZ’ = XY’ + YZ’ + X’Z f. AB’ + A’C’D’ + A’B’D + A’B’CD’ = B’ + A’C’D’ g. XZ + WY’Z’ + W’YZ’ + WX’Z’ = XZ + WY’Z’ + WXY’ + W’XY + X’YZ’ e. CD + AB’ + AC + A’C’ + A’B + C’D’ = (A’ + B’ + C + D’)(A + B + C’ + D) Câu 2. Rút gọn các biểu thức sau: a. ABC + ABC’ + A’B b. (A + B)’ (A’ + B’) c. A’BC + AC d. BC + B(AD + AD’) e. (A + B’ + AB’)(AB + A’C + BC) f. X’Y’ + XYZ + X’Y g. X + Y(Z + (Y + Z)’) h. W’X(Z’ +Y’Z) + X(W + W’YZ) i. (AB + A’B’)(C’D’ + CD) + (AC)’ CuuDuongThanCong.com
  • 2. Ôn tập thi cuối - 1 Trang 2 Câu 3. Cho mạch sau, anh chị hãy điền đầy đủ vào bản thực trị bên dưới.(0.5đ) Z C B A 74LS32 74LS08 C B A Z 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Câu 4. Cho sơ đồ mạch sau, hãy điền đầy đủ vào bảng thực trị cho bên dưới.(0.5đ) Clear Set Qn Q CLK Set Clear CLK Q 0 0 0 1 1 0 1 1 Câu 5. Cho sơ đồ mạch sau, hãy điền đầy đủ vào bảng thực trị cho bên dưới.(0.5đ) (cho biết CLK tích cực cạnh lên hay cạnh xuống bằng cách vẽ mũi tên lên hay xuống). Q SET CLK CLEAR NOT 74LS04 NOT 74LS04 NOR 74LS02 NOR 74LS02 NOR 74LS02 NOR 74LS02 OR 74LS32 CuuDuongThanCong.com
  • 3. Ôn tập thi cuối - 1 Trang 3 Set Clear CLK Q 0 0 0 1 1 0 1 1 Câu 6. Dùng JKFF thiết kế bộ đếm đồng bộ sau: 0000 -> 0010 -> 0101->0110->1000 - >1010 ->1111 ->0000..... Các trạng thái còn lại sẽ quay về trạng thái 000 khi có xung clock kế tiếp. Câu 7. Ban đầu cho DCBA = 0000. a. Xác định giá trị của DCBA khi có 15 xung clock: DCBA = ............1111 b. Xác định giá trị của DCBA khi có 100 xung clock: DCBA = .......0100 c. Xác định giá trị của DCBA khi có 256 xung clock: DCBA = ......0000 d. Xác định giá trị của DCBA khi có 1000 xung clock: DCBA = ......1000 Câu 8. Tín hiệu clock là xung vuông 8Mhz a. Tần số của tín hiệu D = ........0.5.......... b. Duty cycle của tín hiệu D = ........50%........................... c. Tần số của tín hiệu C = .........1................ d. Bộ đếm này MOD bao nhiêu? ......16............. Nếu tín hiệu clock có tần số vẫn là 8Mhz, nhưng Duty cycle là 20% e. Tần số của tín hiệu D = ..............8/(5^4)................ f. Duty cycle của tín hiệu D = ........60%.......... CuuDuongThanCong.com
  • 4. Ôn tập thi cuối - 1 Trang 4 Câu 9. Thiết kế mạch điều khiển dây chuyền đếm sản phẩm. Mỗi khi có một sản phẩm đi qua cảm biến hồng ngoại sẽ cho tín hiệu cảm biến X = 0 và bộ đếm đếm lên 1 đơn vị. Khi bộ đếm đếm đủ 10 sản phẩm sẽ xuất tín hiệu ra Z = 1 , sau đó bộ đếm về 0 và đếm lại từ đầu. Câu 10. Cho mạch sau Cho CBA = 000, hãy xác định chuỗi các trạng thái của bộ đếm trên. 000100->011->010->001->000->100 Câu 11. Cho mạch sau Ban đầu cho DCBA = 0000, hãy xác định các trạng thái của bộ đếm. CuuDuongThanCong.com
  • 5. Ôn tập thi cuối - 1 Trang 5 Câu 12. thiết kế 1 mạch tổ hợp tổ hợp như hình trên (chỉ vẽ mạch). Ngõ nhập X1, X0, Y1, Y0 và C. Ngõ xuất.: M, N Yêu cầu: LED N sáng khi C=0 và ( X1X0 = Y1Y0 hay X1X0 = Y0Y1) LED M sáng khi C=1 và ( X1 khác Y1 và X0 khác Y0 hay X1#Y0 và X0#Y1) Câu 13. Dùng JK-FF thiết kế bộ đếm đồng bộ sau: 00 -> 10 -> 11->01->00 J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 Câu 14. Dùng D-FF thiết kế bộ đếm đồng bộ sau: 00 -> 10 -> 11->01->00 S D CP R Q _ Q S D CP R Q _ Q Câu 15. Sử dụng 3 JK-FF để thiết kế bộ đếm lên bất đồng bộ MOD 7 CuuDuongThanCong.com
  • 6. Ôn tập thi cuối - 1 Trang 6 J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 Câu 16. Sử dụng 3 D-FF để thiết kế bộ đếm xuống bất đồng bộ MOD 6 S D CP R Q _ Q S D CP R Q _ Q S D CP R Q _ Q Câu 17. Cho bộ đếm bất đồng bộ sau: CLK A B C D U14A +V V3 5V J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 J CP K R Q _ Q 74LS73 Đây là bộ đếm Mod bao nhiêu?............ Trong các tín hiệu ngõ ra của A, B, C, D, tín hiệu nào bị xung gai?........ Cho biết tần số của tín hiệu xung B bằng bao nhiêu lần xung CLK?......... Cho biết tần số của tín hiệu xung D bằng bao nhiêu lần xung CLK?........ Câu 18. Cho bộ đếm bất đồng bộ sau: CuuDuongThanCong.com
  • 7. Ôn tập thi cuối - 1 Trang 7 CLK A B C D +V V4 5V U14B S D CP R Q _ Q S D CP R Q _ Q S D CP R Q _ Q S D CP R Q _ Q Đây là bộ đếm Mod bao nhiêu?............ Trong các tín hiệu ngõ ra của A, B, C, D, tín hiệu nào bị xung gai?........ Cho biết tần số của tín hiệu xung B bằng bao nhiêu lần xung CLK?......... Cho biết tần số của tín hiệu xung D bằng bao nhiêu lần xung CLK?......... Câu 19. Sử dụng 2 IC 74LS293 thiết kế mạch chia tần số 30. Câu 20. Tối giản các hàm sau: (4 đ). Cho biết thứ tự trọng số cao – thấp: D, C, B, A F1 = ∑ (0, 1, 3, 7, 9, 10, 11, 13) F2 = ∑ (0, 1, 3, 4, 8, 9, 10, 13, 14) F3 = ∑ (0, 1, 3, 4, 5, 6, 7, 8, 9, 11,12) F4 = ∑ (0, 1, 2, 3, 4, 5, 6, 8) + ∑d (7, 12, 13) CuuDuongThanCong.com
  • 8. Ôn tập thi cuối - 1 Trang 8 F1 =…………………………………..F2 =……………………………………. ………………………………………. ………………………………………… F3 =…………………………………..F4 =……………………………………. ………………………………………. ………………………………………… Câu 21. Người ta thiết kế một phòng họp có 3 cửa ra vào A, B, C. Tại mỗi cửa đều có một công tắc 2 trạng thái ON, OFF. Hãy thiết kế hàm đại số luận lý (F) của đèn ở giữa phòng họp theo 3 công tắc A, B, C sao cho người ta có thể bật tắt đèn ở bất cứ cửa nào của phòng họp. (1đ) F = ………………………………………………………………………………… …… Câu 22. Hãy thiết kế mạch luận lý Multiplexer 2 ngõ nhập A, B một tín hiệu Selector (S) và một ngõ ra F sao cho F = A nếu S = 1 và F = B nếu S = 0 .(1đ) CuuDuongThanCong.com
  • 9. Ôn tập thi cuối - 1 Trang 9 Câu 23. Sử dụng 2 IC 74293 thiết kế bộ đếm bất đồng bộ MOD 30 CuuDuongThanCong.com