SlideShare a Scribd company logo
1 of 12
Praktikum 6
Control Unit dan Register Output
LUSIANA DIYAN NINGRUM
2210181051
Program Studi Teknik Komputer
Departemen Teknik Informatika dan Komputer
Politeknik Elektronika Negeri Surabaya
1. Tujuan:
a. Mahasiswa dapat menjelaskan dan membuat program Program Control
b. Mahasiswa dapat menjelaskan dan membuat program Register Output
c. Mahasiswa dapat menjelaskan dan membuat program Peraga Biner
2. Dasar Teori
A. Pengendali Pengurut (Control Unit)
Blok terbawah di bagian kiri berisi Pengendali Pengurut. Pengendali Pengurut
atau Controller Sequencer yang disingkat CON merupakan unit pengendali
keseluruhan. Sebelum komputer bekerja, sinyal-sinyal CLR masing-masing
dikirimkan ke pencacah program dan register instruksi. Sebagai akibatnya, pencacah
program direset ke 0000 dan bersamaan ini instruksi terakhir dalam register instruksi
dihapus.
Sebuah sinyal detak CLK dikirimkan ke semua register bufer; sinyal ini
mensinkronkan operasi komputer, yang menjamin bahwa setiap langkah operasi akan
terjadi sebagaimana mestinya. Dengan kata lain, semua transfer dalam regiter terjadi
pada tepi positif dari sinyal detak CLK yang sama. Perhatikan bahwa sinyal CLK
juga memasuki pencacah program.
Data 12-bit yang berasal dari Pengendali Pengurut membentuk suatu kata
pengendalian komputer (seperti seorang pengawas yang memberitahu tentang apa
yang harus dikerjakan). 12 kawat yang menyalurkan kata kendali itu disebut bus
kendali (control bus). Kata kendali mempunyai format sbb:
CON = CpEp LM CE L1 E 1 LAEA SuEu LB Lo
Kata ini menentukan bagaimana register-register harus bereaksi terhadap tepi
positif sinyal detak berikutnya. Misalnya, Ep tinggi dan L M rendah berarti bahwa isi
pencacah program ditahan di dalam MAP pada tepi positif berikutnya. Sebagai
contoh yang lain, CE dan LA yang rendah berrati kata RAM yang telah ditunjuk
alamatnya akan ditransfer ke akumulator pada tepi positif berikutnya dari lonceng.
Kelak kita akan mempelajari diagram pewaktuan yang bersangkutan untuk melihat
tepatnya kapan dan bagaimana transfer data ini dilakukan.
B. RegisterOutput
Pada akhir operasi komputer, akumulator berisi jawaban dari persoalan yang
diselesaikan. Pada saat ini, kita perlu memindahkan jawaban yang bersangkutan ke
“dunia luar”. Untuk keperluan inilah register keluaran dipergunakan. Apabila EA
tinggi dan L0 rendah tepi positif sinyal detak berikutnya akan memasukkan kata dari
akumulator ke dalam register keluaran.
C. Peraga Biner
Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oleh karena
setiap LED dihubungkan dengan sebuah flip-flop dari register output, maka peraga
biner akan menyajikan isi register outpu, kita dapat melihat jawaban itu dalam
bentuk biner.
3. Program
 Program Control Unit
VHDL MODUL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity CU is
Port ( CLK : in STD_LOGIC;
CLR : in STD_LOGIC;
opcode : in STD_LOGIC_VECTOR (3 downto 0);
ctrl_bus : out STD_LOGIC_VECTOR (11 downto
0):="001111100011";
Xctrl_bus : out STD_LOGIC_VECTOR (11 downto
0):="001111100011"
);
end CU;
architecture Behavioral of CU is
type state_type is (t1,t2,t3,t4,t5,t6);
signal t_state: state_type;
begin
process(clk)
begin
if CLR ='1' then t_state <=t1;
elsif rising_edge(CLK) then
case t_state is
when t1 => t_state <=t2;
when t2 => t_state <=t3;
when t3 => t_state <=t4;
when t4 => t_state <=t5;
when t5 => t_state <=t6;
when t6 => t_state <=t1;
end case;
end if;
end process;
process(clk)
begin
if falling_edge(CLK) then -- Cp, Ep, nLm, nCe, nLi, nEi,
nLa, Ea, Su, Eu, nLb, nLo
case opcode is
when "0000" => -- LD A
case t_state is
when t1 => ctrl_bus
<="010111100011"; Xctrl_bus <="010111100011";
when t2 => ctrl_bus
<="101111100011"; Xctrl_bus <="101111100011";
when t3 => ctrl_bus
<="001001100011"; Xctrl_bus <="001001100011";
when t4 => ctrl_bus
<="000110100011"; Xctrl_bus <="000110100011";
when t5 => ctrl_bus
<="001011000011"; Xctrl_bus <="001011000011";
when t6 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011"; --NOP
end case;
when "0001" => -- ADD
case t_state is
when t1 => ctrl_bus
<="010111100011"; Xctrl_bus <="010111100011";
when t2 => ctrl_bus
<="101111100011"; Xctrl_bus <="101111100011";
when t3 => ctrl_bus
<="001001100011"; Xctrl_bus <="001001100011";
when t4 => ctrl_bus
<="000110100011"; Xctrl_bus <="000110100011";
when t5 => ctrl_bus
<="001011100001"; Xctrl_bus <="001011100001";
when t6 => ctrl_bus
<="001111000111"; Xctrl_bus <="001111000111";
end case;
when "0010" => -- SUBB
case t_state is
when t1 => ctrl_bus
<="010111100011"; Xctrl_bus <="010111100011";
when t2 => ctrl_bus
<="101111100011"; Xctrl_bus <="101111100011";
when t3 => ctrl_bus
<="001001100011"; Xctrl_bus <="001001100011";
when t4 => ctrl_bus
<="000110100011"; Xctrl_bus <="000110100011";
when t5 => ctrl_bus
<="001011100001"; Xctrl_bus <="001011100001";
when t6 => ctrl_bus
<="001111001111"; Xctrl_bus <="001111001111";
end case;
when "1110" => -- OUT
case t_state is
when t1 => ctrl_bus
<="010111100011"; Xctrl_bus <="010111100011";
when t2 => ctrl_bus
<="101111100011"; Xctrl_bus <="101111100011";
when t3 => ctrl_bus
<="001001100011"; Xctrl_bus <="001001100011";
when t4 => ctrl_bus
<="001111110010"; Xctrl_bus <="001111110010";
when t5 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011";
when t6 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011";
end case;
when "1111" => -- OUT
case t_state is
when t1 => ctrl_bus
<="010111100011"; Xctrl_bus <="010111100011";
when t2 => ctrl_bus
<="101111100011"; Xctrl_bus <="101111100011";
when t3 => ctrl_bus
<="001001100011"; Xctrl_bus <="001001100011";
when t4 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011";
when t5 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011";
when t6 => ctrl_bus
<="001111100011"; Xctrl_bus <="001111100011";
end case;
when others => ctrl_bus <="001111100011";
end case;
end if;
end process;
end Behavioral;
VHDL TEST BENCH
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CU_tb IS
END CU_tb;
ARCHITECTURE behavior OF CU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT CU
PORT(
CLK : IN std_logic;
CLR : IN std_logic;
opcode : IN std_logic_vector(3 downto 0);
ctrl_bus : OUT std_logic_vector(11 downto 0);
Xctrl_bus : OUT std_logic_vector(11 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal CLR : std_logic := '0';
signal opcode : std_logic_vector(3 downto 0) := (others =>
'0');
--Outputs
signal ctrl_bus : std_logic_vector(11 downto 0);
signal Xctrl_bus : std_logic_vector(11 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: CU PORT MAP (
CLK => CLK,
CLR => CLR,
opcode => opcode,
ctrl_bus => ctrl_bus,
Xctrl_bus => Xctrl_bus
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
CLR <='0';
wait for CLK_period/2;
CLR <='1';
wait for CLK_period/2;
wait for CLK_period*10;
wait;
end process;
stim_proc1: process
begin
opcode <="0000";
wait for CLK_period/2;
opcode <="1111";
wait for CLK_period/2;
wait for CLK_period*5;
wait;
end process;
END;
 Program RegisterOutput
VHDL MODUL
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity outReg is
Port ( CLK : in STD_LOGIC;
nLo : in STD_LOGIC;
inReg : in STD_LOGIC_VECTOR (7 downto 0);
LED : out STD_LOGIC_VECTOR (7 downto 0));
end outReg;
architecture Behavioral of outReg is
begin
process (CLK)
begin
if rising_edge(CLK) then
if nLo='0' then
LED <= inReg;
end if;
end if;
end process;
end Behavioral;
VHDL TEST BENCH
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY outReg_tb IS
END outReg_tb;
ARCHITECTURE behavior OF outReg_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT outReg
PORT(
CLK : IN std_logic;
nLo : IN std_logic;
inReg : IN std_logic_vector(7 downto 0);
LED : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal nLo : std_logic := '0';
signal inReg : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal LED : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: outReg PORT MAP (
CLK => CLK,
nLo => nLo,
inReg => inReg,
LED => LED
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
nLo <= '0';
wait for CLK_period/2;
nLo <= '1';
wait for CLK_period/2;
wait;
end process;
stim_proc1: process
begin
inReg <= "ZZZZZZZZ";
wait for CLK_period/2;
inReg <= "ZZZZZZZZ";
wait for CLK_period/2;
wait for CLK_period*10;
wait;
end process;
END;
 Simulasi Peraga Biner
4. Analisa
Pada program Control Unit terdapat 3 input yaitu CLK, CLR, dan opcode.
Dan program ini juga terdapat output yaitu 12 bit. sinyal detak CLK dikirimkan ke
semua register bufer; sinyal ini mensinkronkan operasi komputer, yang menjamin
bahwa setiap langkah operasi akan terjadi sebagaimana mestinya.Output data 12-bit
yang berasal dari Pengendali Pengurut membentuk suatu word pengendalian
komputer (seperti seorang pengawas yang memberitahu tentang apa yang harus
dikerjakan). Sebelum komputer bekerja, sinyal-sinyal CLR dan CLR masing-masing
dikirmkan ke pencacah program dan register instruksi. Sebagai akibatnya, pencacah
program direset ke 0000 dan bersamaan ini instruksi terakhir dalam register instruksi
dihapus
Pada program Register Output terdapat 3 input yaitu CLK, nLo, dan inReg.
Dan memiliki 1 output yaitu LED yang berisi 8 bit. Apabila EA tinggi dan LO rendah
tepi positif sinyal detak berikutnya akan memasukkan kata dari akumulator ke dalam
register keluaran. Pada akhir operasi komputer, akumulator berisi jawaban dari
persoalan yang diselesaikan , lalu jawaban akan dipindahkan ke register keluaran.
Register keluaran sering disebut output port karena data yang diproses dapat
meninggalkan komputer melalui register ini.
Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oeh karena itu
setiap LED dihubungkan dengan sebuah flip-flop dari port output, masukan dari
peraga biner akan menyajikan isi dari bandar keluaran. Dengan demikian, setelah kita
memindahkan suatu jawaban dari akumlator ke bandar keluaran, kita dapat melihat
jawaban itu ke dala bentuk biner.
5. Kesimpulan
 Pengendali Pengurut atau Controller Sequencer yang disingkat CON merupakan
unit pengendali keseluruhan. Sebelum komputer bekerja, sinyal-sinyal CLR
masing-masing dikirimkan ke pencacah program dan register instruksi.
 Pada akhir operasi komputer, akumulator berisi jawaban dari persoalan yang
diselesaikan, lalu jawaban akan dipindahkan ke register keluaran. Untuk
keperluan inilah register keluaran dipergunakan. Apabila EA tinggi dan L 0 rendah
tepi positif sinyal detak berikutnya akan memasukkan kata dari akumulator ke
dalam register keluaran.
 Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oleh karena
setiap LED dihubungkan dengan sebuah flip-flop dari register output, maka
peraga biner akan menyajikan isi register outpu, kita dapat melihat jawaban itu
dalam bentuk biner.

More Related Content

What's hot

Laporan 2 (ripple counter)
Laporan 2 (ripple counter)Laporan 2 (ripple counter)
Laporan 2 (ripple counter)Nasrudin Waulat
 
Presentasi flip flop
Presentasi flip flopPresentasi flip flop
Presentasi flip flopNur Aoliya
 
Laporan acara flip flop
Laporan acara flip flopLaporan acara flip flop
Laporan acara flip flopYuwan Kilmi
 
Presentasi flip flop
Presentasi flip flopPresentasi flip flop
Presentasi flip flopIna Locku
 
Pert 6
Pert 6Pert 6
Pert 6Wanti
 
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)IPA 2014
 
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14Kurniawan Suganda
 
Materi Rangkaian Digital Part 2
Materi Rangkaian Digital Part 2Materi Rangkaian Digital Part 2
Materi Rangkaian Digital Part 2Amien Nuryanto
 
Presentasi multivibrator
Presentasi multivibratorPresentasi multivibrator
Presentasi multivibratorEgar Christian
 
Cara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counterCara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counterPT.goLom na
 
Laporan Programmeable Counter & Self-Stopping Cenounter
Laporan Programmeable Counter & Self-Stopping CenounterLaporan Programmeable Counter & Self-Stopping Cenounter
Laporan Programmeable Counter & Self-Stopping CenounterKurniawan Suganda
 
Rangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityRangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityZufar Dhiyaulhaq
 
Instrumentasi group 1
Instrumentasi group 1Instrumentasi group 1
Instrumentasi group 1imdesi
 

What's hot (18)

Flip-Flop
Flip-FlopFlip-Flop
Flip-Flop
 
Laporan 2 (ripple counter)
Laporan 2 (ripple counter)Laporan 2 (ripple counter)
Laporan 2 (ripple counter)
 
Presentasi flip flop
Presentasi flip flopPresentasi flip flop
Presentasi flip flop
 
Laporan acara flip flop
Laporan acara flip flopLaporan acara flip flop
Laporan acara flip flop
 
Presentasi flip flop
Presentasi flip flopPresentasi flip flop
Presentasi flip flop
 
07 flip flop
07 flip flop07 flip flop
07 flip flop
 
Laporan Binary Counter
Laporan Binary CounterLaporan Binary Counter
Laporan Binary Counter
 
Bab 3 flip flop
Bab 3   flip flopBab 3   flip flop
Bab 3 flip flop
 
Pert 6
Pert 6Pert 6
Pert 6
 
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)
Flip flop (maria hanifah 14708251105 & oky ristya trisnawati-14708251020)
 
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14
Laporan1 sr&d flip-flop_kurniawan suganda_1_nk1_14
 
Materi Rangkaian Digital Part 2
Materi Rangkaian Digital Part 2Materi Rangkaian Digital Part 2
Materi Rangkaian Digital Part 2
 
Presentasi multivibrator
Presentasi multivibratorPresentasi multivibrator
Presentasi multivibrator
 
Bistable multivibrators
Bistable multivibratorsBistable multivibrators
Bistable multivibrators
 
Cara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counterCara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counter
 
Laporan Programmeable Counter & Self-Stopping Cenounter
Laporan Programmeable Counter & Self-Stopping CenounterLaporan Programmeable Counter & Self-Stopping Cenounter
Laporan Programmeable Counter & Self-Stopping Cenounter
 
Rangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom UniversityRangkuman UAS JTPT Telkom University
Rangkuman UAS JTPT Telkom University
 
Instrumentasi group 1
Instrumentasi group 1Instrumentasi group 1
Instrumentasi group 1
 

Similar to Control unit dan register output

Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Lusiana Diyan
 
Register Instruksi dan Accumulator
Register Instruksi dan AccumulatorRegister Instruksi dan Accumulator
Register Instruksi dan AccumulatorLusiana Diyan
 
simple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptsimple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptwidieyyaya
 
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Muhammad Kennedy Ginting
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counterpersonal
 
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...Muhammad Kennedy Ginting
 
Mikrokontroler io tombol dan led
Mikrokontroler io tombol dan ledMikrokontroler io tombol dan led
Mikrokontroler io tombol dan ledJodit Sulistyo
 
131367696-PLC-dasar-ppt.ppt
131367696-PLC-dasar-ppt.ppt131367696-PLC-dasar-ppt.ppt
131367696-PLC-dasar-ppt.pptNazpexss
 
Motor Speed Control System
Motor Speed Control SystemMotor Speed Control System
Motor Speed Control SystemLusiana Diyan
 
RANCANG BANGUN PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...
RANCANG BANGUN  PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...RANCANG BANGUN  PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...
RANCANG BANGUN PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...RenataNoviene
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)akbar010
 
Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip registerEko Supriyadi
 
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16Mochammadfinandika
 
COUNTER SINKRON DAN ASINKRONUS
COUNTER SINKRON DAN ASINKRONUSCOUNTER SINKRON DAN ASINKRONUS
COUNTER SINKRON DAN ASINKRONUSNadanajlla
 
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...muhamadaulia3
 

Similar to Control unit dan register output (20)

Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)Siklus pengambilan (fetch cycle)
Siklus pengambilan (fetch cycle)
 
Pemrograman sap 1
Pemrograman sap 1Pemrograman sap 1
Pemrograman sap 1
 
Register Instruksi dan Accumulator
Register Instruksi dan AccumulatorRegister Instruksi dan Accumulator
Register Instruksi dan Accumulator
 
simple-as-possible-computer-1.ppt
simple-as-possible-computer-1.pptsimple-as-possible-computer-1.ppt
simple-as-possible-computer-1.ppt
 
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...Tutorial membuat project termometer dan jam digital menggunakan codevision av...
Tutorial membuat project termometer dan jam digital menggunakan codevision av...
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counter
 
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...
Membuat stopwatch menggunakan mikrokontroler atmega16 by muhammad kennedy gin...
 
Mikrokontroler io tombol dan led
Mikrokontroler io tombol dan ledMikrokontroler io tombol dan led
Mikrokontroler io tombol dan led
 
131367696-PLC-dasar-ppt.ppt
131367696-PLC-dasar-ppt.ppt131367696-PLC-dasar-ppt.ppt
131367696-PLC-dasar-ppt.ppt
 
2. plc fix
2. plc fix2. plc fix
2. plc fix
 
SIMPLE SYSTEM OPERATING WITH ECLPSE
SIMPLE SYSTEM OPERATING WITH ECLPSESIMPLE SYSTEM OPERATING WITH ECLPSE
SIMPLE SYSTEM OPERATING WITH ECLPSE
 
Motor Speed Control System
Motor Speed Control SystemMotor Speed Control System
Motor Speed Control System
 
ppt-plc.ppt
ppt-plc.pptppt-plc.ppt
ppt-plc.ppt
 
RANCANG BANGUN PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...
RANCANG BANGUN  PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...RANCANG BANGUN  PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...
RANCANG BANGUN PUTAR BALIK MOTOR DC CONVEYOR MENGGUNAKAN MIKROKONTROLER ATME...
 
Pengantar PLC
Pengantar PLCPengantar PLC
Pengantar PLC
 
Adc (analog to digital converter)
Adc (analog to digital converter)Adc (analog to digital converter)
Adc (analog to digital converter)
 
Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip register
 
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16
Rancang bangun conveyor Menggunakan Mikrokontroller atmega 16
 
COUNTER SINKRON DAN ASINKRONUS
COUNTER SINKRON DAN ASINKRONUSCOUNTER SINKRON DAN ASINKRONUS
COUNTER SINKRON DAN ASINKRONUS
 
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...PERANCANGAN PUTAR BALIK MOTOR DC  WALKING ROBOT MENGGUNAKAN  MIKROKONTROLLER ...
PERANCANGAN PUTAR BALIK MOTOR DC WALKING ROBOT MENGGUNAKAN MIKROKONTROLLER ...
 

More from Lusiana Diyan

Komunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifKomunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifLusiana Diyan
 
Berkomunikasi dalam Tim
Berkomunikasi dalam TimBerkomunikasi dalam Tim
Berkomunikasi dalam TimLusiana Diyan
 
Berkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanBerkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanLusiana Diyan
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan BisnisLusiana Diyan
 
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisKemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisLusiana Diyan
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan BisnisLusiana Diyan
 
Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Lusiana Diyan
 
Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Lusiana Diyan
 
Vocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentVocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentLusiana Diyan
 
DESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTDESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTLusiana Diyan
 
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimTutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimLusiana Diyan
 
CRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLCRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLLusiana Diyan
 
Kontrol LED melalui Web Server
Kontrol LED melalui Web ServerKontrol LED melalui Web Server
Kontrol LED melalui Web ServerLusiana Diyan
 
Akses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiAkses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiLusiana Diyan
 
Building A Simple Robot in VREP
Building A Simple Robot in VREPBuilding A Simple Robot in VREP
Building A Simple Robot in VREPLusiana Diyan
 
Tutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleTutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleLusiana Diyan
 
Proses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakProses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakLusiana Diyan
 
Produk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakProduk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakLusiana Diyan
 
Open Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCOpen Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCLusiana Diyan
 

More from Lusiana Diyan (20)

Komunikasi Bisnis yang Efektif
Komunikasi Bisnis yang EfektifKomunikasi Bisnis yang Efektif
Komunikasi Bisnis yang Efektif
 
Berkomunikasi dalam Tim
Berkomunikasi dalam TimBerkomunikasi dalam Tim
Berkomunikasi dalam Tim
 
Berkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan KeragamanBerkomunikasi di Dunia dengan Keragaman
Berkomunikasi di Dunia dengan Keragaman
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan Bisnis
 
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan BisnisKemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
Kemampuan Berkomunikasi 6 - Menyelesaikan Pesan Bisnis
 
Menulis Pesan Bisnis
Menulis Pesan BisnisMenulis Pesan Bisnis
Menulis Pesan Bisnis
 
Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3Vocabulary Engineering Enrichment 3
Vocabulary Engineering Enrichment 3
 
Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2Vocabulary Engineering Enrichment 2
Vocabulary Engineering Enrichment 2
 
Vocabulary Engineering Enrichment
Vocabulary Engineering EnrichmentVocabulary Engineering Enrichment
Vocabulary Engineering Enrichment
 
DESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENTDESIGN THINGKING & PROJECT MANAGEMENT
DESIGN THINGKING & PROJECT MANAGEMENT
 
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan CoppeliasimTutorial Membuat Simple Crane Menggunakan Coppeliasim
Tutorial Membuat Simple Crane Menggunakan Coppeliasim
 
CRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQLCRUD pada Android Studio menggunakan MySQL
CRUD pada Android Studio menggunakan MySQL
 
Kontrol LED melalui Web Server
Kontrol LED melalui Web ServerKontrol LED melalui Web Server
Kontrol LED melalui Web Server
 
Installasi NodeMCU
Installasi NodeMCUInstallasi NodeMCU
Installasi NodeMCU
 
Akses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry PiAkses GPIO pada Raspberry Pi
Akses GPIO pada Raspberry Pi
 
Building A Simple Robot in VREP
Building A Simple Robot in VREPBuilding A Simple Robot in VREP
Building A Simple Robot in VREP
 
Tutorial Menggunakan Software Eagle
Tutorial Menggunakan Software EagleTutorial Menggunakan Software Eagle
Tutorial Menggunakan Software Eagle
 
Proses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat LunakProses Rekayasa Perangkat Lunak
Proses Rekayasa Perangkat Lunak
 
Produk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat LunakProduk Rekasaya Perangkat Lunak
Produk Rekasaya Perangkat Lunak
 
Open Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DCOpen Loop Analog Control System - Motor DC
Open Loop Analog Control System - Motor DC
 

Recently uploaded

Slide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open StudioSlide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open Studiossuser52d6bf
 
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptxMuhararAhmad
 
05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.pptSonyGobang1
 
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxPembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxmuhammadrizky331164
 
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptxAnnisaNurHasanah27
 
Strategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaStrategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaRenaYunita2
 

Recently uploaded (6)

Slide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open StudioSlide Transformasi dan Load Data Menggunakan Talend Open Studio
Slide Transformasi dan Load Data Menggunakan Talend Open Studio
 
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
001. Ringkasan Lampiran Juknis DAK 2024_PAUD.pptx
 
05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt05 Sistem Perencanaan Pembangunan Nasional.ppt
05 Sistem Perencanaan Pembangunan Nasional.ppt
 
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptxPembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
Pembangkit Listrik Tenaga Nuklir Kelompok 1.pptx
 
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
2021 - 10 - 03 PAPARAN PENDAHULUAN LEGGER JALAN.pptx
 
Strategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di IndonesiaStrategi Pengembangan Agribisnis di Indonesia
Strategi Pengembangan Agribisnis di Indonesia
 

Control unit dan register output

  • 1. Praktikum 6 Control Unit dan Register Output LUSIANA DIYAN NINGRUM 2210181051 Program Studi Teknik Komputer Departemen Teknik Informatika dan Komputer Politeknik Elektronika Negeri Surabaya
  • 2. 1. Tujuan: a. Mahasiswa dapat menjelaskan dan membuat program Program Control b. Mahasiswa dapat menjelaskan dan membuat program Register Output c. Mahasiswa dapat menjelaskan dan membuat program Peraga Biner 2. Dasar Teori A. Pengendali Pengurut (Control Unit) Blok terbawah di bagian kiri berisi Pengendali Pengurut. Pengendali Pengurut atau Controller Sequencer yang disingkat CON merupakan unit pengendali keseluruhan. Sebelum komputer bekerja, sinyal-sinyal CLR masing-masing dikirimkan ke pencacah program dan register instruksi. Sebagai akibatnya, pencacah program direset ke 0000 dan bersamaan ini instruksi terakhir dalam register instruksi dihapus. Sebuah sinyal detak CLK dikirimkan ke semua register bufer; sinyal ini mensinkronkan operasi komputer, yang menjamin bahwa setiap langkah operasi akan terjadi sebagaimana mestinya. Dengan kata lain, semua transfer dalam regiter terjadi pada tepi positif dari sinyal detak CLK yang sama. Perhatikan bahwa sinyal CLK juga memasuki pencacah program. Data 12-bit yang berasal dari Pengendali Pengurut membentuk suatu kata pengendalian komputer (seperti seorang pengawas yang memberitahu tentang apa yang harus dikerjakan). 12 kawat yang menyalurkan kata kendali itu disebut bus kendali (control bus). Kata kendali mempunyai format sbb: CON = CpEp LM CE L1 E 1 LAEA SuEu LB Lo Kata ini menentukan bagaimana register-register harus bereaksi terhadap tepi positif sinyal detak berikutnya. Misalnya, Ep tinggi dan L M rendah berarti bahwa isi pencacah program ditahan di dalam MAP pada tepi positif berikutnya. Sebagai contoh yang lain, CE dan LA yang rendah berrati kata RAM yang telah ditunjuk alamatnya akan ditransfer ke akumulator pada tepi positif berikutnya dari lonceng. Kelak kita akan mempelajari diagram pewaktuan yang bersangkutan untuk melihat tepatnya kapan dan bagaimana transfer data ini dilakukan.
  • 3. B. RegisterOutput Pada akhir operasi komputer, akumulator berisi jawaban dari persoalan yang diselesaikan. Pada saat ini, kita perlu memindahkan jawaban yang bersangkutan ke “dunia luar”. Untuk keperluan inilah register keluaran dipergunakan. Apabila EA tinggi dan L0 rendah tepi positif sinyal detak berikutnya akan memasukkan kata dari akumulator ke dalam register keluaran. C. Peraga Biner Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oleh karena setiap LED dihubungkan dengan sebuah flip-flop dari register output, maka peraga biner akan menyajikan isi register outpu, kita dapat melihat jawaban itu dalam bentuk biner. 3. Program  Program Control Unit VHDL MODUL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity CU is Port ( CLK : in STD_LOGIC; CLR : in STD_LOGIC; opcode : in STD_LOGIC_VECTOR (3 downto 0); ctrl_bus : out STD_LOGIC_VECTOR (11 downto 0):="001111100011"; Xctrl_bus : out STD_LOGIC_VECTOR (11 downto 0):="001111100011" ); end CU; architecture Behavioral of CU is type state_type is (t1,t2,t3,t4,t5,t6); signal t_state: state_type; begin process(clk) begin if CLR ='1' then t_state <=t1; elsif rising_edge(CLK) then case t_state is when t1 => t_state <=t2; when t2 => t_state <=t3; when t3 => t_state <=t4; when t4 => t_state <=t5; when t5 => t_state <=t6;
  • 4. when t6 => t_state <=t1; end case; end if; end process; process(clk) begin if falling_edge(CLK) then -- Cp, Ep, nLm, nCe, nLi, nEi, nLa, Ea, Su, Eu, nLb, nLo case opcode is when "0000" => -- LD A case t_state is when t1 => ctrl_bus <="010111100011"; Xctrl_bus <="010111100011"; when t2 => ctrl_bus <="101111100011"; Xctrl_bus <="101111100011"; when t3 => ctrl_bus <="001001100011"; Xctrl_bus <="001001100011"; when t4 => ctrl_bus <="000110100011"; Xctrl_bus <="000110100011"; when t5 => ctrl_bus <="001011000011"; Xctrl_bus <="001011000011"; when t6 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; --NOP end case; when "0001" => -- ADD case t_state is when t1 => ctrl_bus <="010111100011"; Xctrl_bus <="010111100011"; when t2 => ctrl_bus <="101111100011"; Xctrl_bus <="101111100011"; when t3 => ctrl_bus <="001001100011"; Xctrl_bus <="001001100011"; when t4 => ctrl_bus <="000110100011"; Xctrl_bus <="000110100011"; when t5 => ctrl_bus <="001011100001"; Xctrl_bus <="001011100001"; when t6 => ctrl_bus <="001111000111"; Xctrl_bus <="001111000111"; end case; when "0010" => -- SUBB case t_state is when t1 => ctrl_bus <="010111100011"; Xctrl_bus <="010111100011"; when t2 => ctrl_bus <="101111100011"; Xctrl_bus <="101111100011"; when t3 => ctrl_bus <="001001100011"; Xctrl_bus <="001001100011"; when t4 => ctrl_bus <="000110100011"; Xctrl_bus <="000110100011"; when t5 => ctrl_bus <="001011100001"; Xctrl_bus <="001011100001"; when t6 => ctrl_bus <="001111001111"; Xctrl_bus <="001111001111"; end case; when "1110" => -- OUT case t_state is when t1 => ctrl_bus <="010111100011"; Xctrl_bus <="010111100011"; when t2 => ctrl_bus <="101111100011"; Xctrl_bus <="101111100011";
  • 5. when t3 => ctrl_bus <="001001100011"; Xctrl_bus <="001001100011"; when t4 => ctrl_bus <="001111110010"; Xctrl_bus <="001111110010"; when t5 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; when t6 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; end case; when "1111" => -- OUT case t_state is when t1 => ctrl_bus <="010111100011"; Xctrl_bus <="010111100011"; when t2 => ctrl_bus <="101111100011"; Xctrl_bus <="101111100011"; when t3 => ctrl_bus <="001001100011"; Xctrl_bus <="001001100011"; when t4 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; when t5 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; when t6 => ctrl_bus <="001111100011"; Xctrl_bus <="001111100011"; end case; when others => ctrl_bus <="001111100011"; end case; end if; end process; end Behavioral;
  • 6. VHDL TEST BENCH LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY CU_tb IS END CU_tb; ARCHITECTURE behavior OF CU_tb IS
  • 7. -- Component Declaration for the Unit Under Test (UUT) COMPONENT CU PORT( CLK : IN std_logic; CLR : IN std_logic; opcode : IN std_logic_vector(3 downto 0); ctrl_bus : OUT std_logic_vector(11 downto 0); Xctrl_bus : OUT std_logic_vector(11 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal CLR : std_logic := '0'; signal opcode : std_logic_vector(3 downto 0) := (others => '0'); --Outputs signal ctrl_bus : std_logic_vector(11 downto 0); signal Xctrl_bus : std_logic_vector(11 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: CU PORT MAP ( CLK => CLK, CLR => CLR, opcode => opcode, ctrl_bus => ctrl_bus, Xctrl_bus => Xctrl_bus );
  • 8. -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin CLR <='0'; wait for CLK_period/2; CLR <='1'; wait for CLK_period/2; wait for CLK_period*10; wait; end process; stim_proc1: process begin opcode <="0000"; wait for CLK_period/2; opcode <="1111"; wait for CLK_period/2; wait for CLK_period*5; wait; end process; END;
  • 9.  Program RegisterOutput VHDL MODUL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity outReg is Port ( CLK : in STD_LOGIC; nLo : in STD_LOGIC; inReg : in STD_LOGIC_VECTOR (7 downto 0); LED : out STD_LOGIC_VECTOR (7 downto 0)); end outReg; architecture Behavioral of outReg is begin process (CLK) begin if rising_edge(CLK) then if nLo='0' then LED <= inReg; end if; end if; end process; end Behavioral;
  • 10. VHDL TEST BENCH LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY outReg_tb IS END outReg_tb; ARCHITECTURE behavior OF outReg_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT outReg PORT( CLK : IN std_logic; nLo : IN std_logic; inReg : IN std_logic_vector(7 downto 0); LED : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal nLo : std_logic := '0'; signal inReg : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal LED : std_logic_vector(7 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: outReg PORT MAP ( CLK => CLK, nLo => nLo, inReg => inReg, LED => LED ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin nLo <= '0'; wait for CLK_period/2;
  • 11. nLo <= '1'; wait for CLK_period/2; wait; end process; stim_proc1: process begin inReg <= "ZZZZZZZZ"; wait for CLK_period/2; inReg <= "ZZZZZZZZ"; wait for CLK_period/2; wait for CLK_period*10; wait; end process; END;  Simulasi Peraga Biner 4. Analisa Pada program Control Unit terdapat 3 input yaitu CLK, CLR, dan opcode. Dan program ini juga terdapat output yaitu 12 bit. sinyal detak CLK dikirimkan ke semua register bufer; sinyal ini mensinkronkan operasi komputer, yang menjamin bahwa setiap langkah operasi akan terjadi sebagaimana mestinya.Output data 12-bit yang berasal dari Pengendali Pengurut membentuk suatu word pengendalian komputer (seperti seorang pengawas yang memberitahu tentang apa yang harus dikerjakan). Sebelum komputer bekerja, sinyal-sinyal CLR dan CLR masing-masing dikirmkan ke pencacah program dan register instruksi. Sebagai akibatnya, pencacah program direset ke 0000 dan bersamaan ini instruksi terakhir dalam register instruksi dihapus Pada program Register Output terdapat 3 input yaitu CLK, nLo, dan inReg. Dan memiliki 1 output yaitu LED yang berisi 8 bit. Apabila EA tinggi dan LO rendah tepi positif sinyal detak berikutnya akan memasukkan kata dari akumulator ke dalam register keluaran. Pada akhir operasi komputer, akumulator berisi jawaban dari persoalan yang diselesaikan , lalu jawaban akan dipindahkan ke register keluaran. Register keluaran sering disebut output port karena data yang diproses dapat meninggalkan komputer melalui register ini.
  • 12. Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oeh karena itu setiap LED dihubungkan dengan sebuah flip-flop dari port output, masukan dari peraga biner akan menyajikan isi dari bandar keluaran. Dengan demikian, setelah kita memindahkan suatu jawaban dari akumlator ke bandar keluaran, kita dapat melihat jawaban itu ke dala bentuk biner. 5. Kesimpulan  Pengendali Pengurut atau Controller Sequencer yang disingkat CON merupakan unit pengendali keseluruhan. Sebelum komputer bekerja, sinyal-sinyal CLR masing-masing dikirimkan ke pencacah program dan register instruksi.  Pada akhir operasi komputer, akumulator berisi jawaban dari persoalan yang diselesaikan, lalu jawaban akan dipindahkan ke register keluaran. Untuk keperluan inilah register keluaran dipergunakan. Apabila EA tinggi dan L 0 rendah tepi positif sinyal detak berikutnya akan memasukkan kata dari akumulator ke dalam register keluaran.  Peraga biner adalah suatu barisan yang terdiri dari 8 buah LED. Oleh karena setiap LED dihubungkan dengan sebuah flip-flop dari register output, maka peraga biner akan menyajikan isi register outpu, kita dapat melihat jawaban itu dalam bentuk biner.