SlideShare a Scribd company logo
1 of 22
1
FinFET Based NanoElectronic
Circuit
A Report Submitted
in partial fulfilment for the degree of
Master of Technology
in
Electronics and Communication Engineering
by
SHAMIM AHMED
Under the Supervision
of
Dr. M. NIZAMUDDIN
Department of Electronics and Communication,
Faculty of Engineering & Technology,
Jamia Millia Islamia
New Delhi-110025
2
CERTIFICATE
This is to certify that the project report entitled “FINFET BASED NANO ELECTRONIC
CIRCUIT ” submitted by Shamim Ahmed to the Department of Electronics & Communication
Engineering, F/O Engineering & Technology, Jamia Millia Islamia, New Delhi – 110025 in
partial fulfilment for the award of the degree of M. Tech in (Electronics & Communication
Engineering) is a bona fide record of project work carried out by him under my supervision. The
contents of this report, in full or in parts, have not been submitted to any other Institution or
University for the award of any degree.
Dr. M. Nizamuddin
Department of Electronics & Communication Engineering,
Faculty of Engineering & Technology,
Jamia Millia Islamia University,
New Delhi-110025
3
DECLARATION
I declare that this project report titled “Design Of FinFET Inverter using LTspice” submitted in
partial fulfilments of the degree of M. Tech in (Electronics & Communication Engineering) is a
record of original work carried out by me under the supervision of Dr. M. Nizamuddin, and has
not formed the basis for the award of any other degree, in this or any other Institution or
University. In keeping with the ethical practice in reporting scientific information, due
acknowledgements have been made wherever the findings of others have been cited.
Shamim Ahmed
19MEC018
New Delhi-110025
31/05/2021
4
Contents
1.Introduction
1.1 Motivation………………………………………………..8
1.2 Objective………………………………………………....8
2. Literature Review……..........................................................9
3.Building Blocks Of FinFET
3.1 FinFET…………………………………………………….11
3.2 FinFET Classification………………………………….…13
4. FinFET Standard Cells
4.1 SG/IG INV……………………………………………….15
4.2. SG/IG NAND2…………………………………………..16
5. RESULTS…………………………………………………19
6. Conclusion…………………………………………………21
7. References………………………………………………….22
5
List of Figures
Figure Name
Figure 1: Structural comparison between(a) planer MOSFETand (b) FinFET
Figure 2: Structural comparisonbetween(a) Bulk and (b) SOI FinFET
Figure 3: Structural comparisonbetween(a) SG and (b) IG FinFET
Figure 4 : Structural comparisonbetween(a) SG and (b) ASG FinFET
Figure 5 : Schematic Diagrams of(a) SG INV (b) LP INV (c) IGn INV (d) IGp INV
Figure 6: Schematic Diagrams of NAND2 gates (a)SG (b) LP (c) MT
Figure 7: Schematic Diagrams of NAND2 gates (a)IG (b) IG2 (c) XT (d) XT2
Figure 8: Designof FinFET Inverter Using LTSpice Software
Figure 9 :Output of FinFET Inverter using 45nm technologynode.
6
List of Tables
Table Name
Table 1: Comparisonof FinFET Inverters…………………… 15
Table 2: Comparisonof FinFET NAND2 gates………………17
7
Abbreviations
SCEs- Short-channeleffects
MuGFET- Multi gate FET
IC- Integratedcircuits
GIDL – Gate induced drain leakage
MGFET- Multiple-gate field-effecttransistors
DIBL - Drain-induced barrier lowering
DGFET- Double-gate FET
NoC- Networks-on-chip
RDF- RandomDopant fluctuation
SG - Shorted-gate
IG- Independent-gate
ASG- Asymmetric gate
8
CHAPTER 1
Introduction.
1.1 Motivation
VLSI technology and IC’s implementation have observed aadvancing changes over the decades.
Moore’s law was coined by INTEL’s CEO in 1970s. the law predicated the trend of increasing
transistors density(almost doubling every year) on the chip over years. This law was applicable
for decades but now when we are dealing with a technology in nm’s the limation have
challenged the engineers and researchers for new ways of implementation. We, the stakeholders
for future technology should work for enhancing our cuircuit performances by proposing new
ways.
1.2 Objective
Since Moore’s law driven scaling of planar MOSFETs faces formidable challenges in the
nanometer regime, FinFETs and Trigate FETs have emerged as their successors. Owing to the
presence of multiple (two/three) gates, FinFETs/Trigate FETs are able to tackle short-channel
effects (SCEs) better than conventional planar MOSFETs at deeply scaled technology nodes and
thus enable continued transistor scaling. In view of the difficulties in planar CMOS transistor
scaling to preserve an acceptable gate to channel control FINFET based multi-gate (MuGFET)
devices have been proposed as a technology option for replacing the existing technology. The
attractiveness of FINFET consists in the realization of self-aligned double-gate devices with a
conventional CMOS process. This allows extending the gate scaling beyond the planar transitor
limits , maintaining a steep subthreshold slope, better performance with bias voltage scaling and
good matching due to low doping concentration in the channel
9
CHAPTER 2
LITERATURE REVIEW
Relentless scaling of planar MOSFETs over the past four decades has delivered ever-increasing
transistor density and performance to integrated circuits (ICs). However, continuing this trend in
the nanometer regime is very challenging due to the drastic increase in the subthreshold leakage
current (Ioff) . Due to the very narrow channel lengths in deeply scaled MOSFETs, the drain
potential begins to influence the electrostatics of the channel and, consequently, the gate loses
adequate control over the channel. As a result, the gate is unable to shut off the channel
completely in the off-mode of operation, which leads to an increased Ioff between the drain and
the source. The use of thinner gate oxides and high-k dielectric materials helps alleviate this
problem by increasing the gate-channel capacitance. However, thinning of gate oxides is
fundamentally limited by the deterioration in gate leakage and gate-induced drain leakage
(GIDL) . Multiple-gate field-effect transistors (MGFETs), which are an alternative to planar
MOSFETs, demonstrate better screening of the drain potential from the channel due to the
proximity of the additional gate(s) to the channel (i.e., higher gate-channel capacitance) . This
makes MGFETs superior to planar MOSFETs in short-channel performance metrics, such as
subthreshold slope (S) , drain-induced barrier lowering (DIBL), and threshold voltage (Vth) roll-
off. Improvement in these metrics implies less degradation in the transistor’s Vth with continued
scaling, which in turn implies less degradation in Ioff. So far, we have referred to planar
MOSFETs built on bulk-Si wafers (or bulk MOSFETs) as planar MOSFETs. Fully-depleted
silicon-on-insulator (FDSOI) MOSFETs (planar MOSFETs built atop SOI wafers) avoid the
extra leakage paths from the drain to source by getting rid of the extra substrate beneath the
channel . Their performance metrics are comparable with those of double-gate FETs (DGFETs),
which are MGFETs with two gates. Both offer reduced junction capacitance, higher Ion/Ioff
ratio, better S , and improved robustness against random dopant fluctuation (RDF). However,
DGFETs have a more relaxed constraint on channel thickness, which makes DGFETs more
10
scalable than FDSOI MOSFETs in the long run . Also, DGFET structures can be built on bulk-Si
wafers, as well, which makes DGFETs more attractive to foundries that do not want to switch to
an SOI process.
Among all MGFETs, FinFETs (a type of DGFET) and Trigate FETs (another popular MGFET
with three gates) have emerged as the most desirable alternatives to MOSFETs due to their
simple structures and ease of fabrication . Two or three gates wrapped around a vertical channel
enable easy alignment of gates and compatibility with the standard CMOS fabrication process. In
Trigate FETs, an additional selective etching step of the hard mask is involved in order to create
the third gate on top of the channel. Although this third gate adds to process complexity, it also
leads to some advantages like reduced fringe capacitances and additional transistor width .
FinFET/Trigate devices have been explored thoroughly in the past decade. A large number of
research articles have been published that demonstrate the improved short-channel behavior of
these devices over conventional bulk MOSFETs . Many researchers have presented novel circuit
design styles that exploit different kinds of FinFETs. Researchers have also explored various
symmetric and asymmetric FinFET styles and used them in hybrid FinFET logic gates and
memories . Newer architectures for caches, networks-on-chip (NoCs), and processors based on
such logic gates and memories have also been explored. In spite of these advancements in
FinFET research, articles that provide a global view of FinFETs from the device level to the
topmost architecture level are scarce.
11
CHAPTER 3
BUILDING BLOCKS OF FinFET
3.1 FinFET
In 1989, Hisamato et al. fabricated a double-gate SOI structure which they called a fully-depleted
lean channel transistor (DELTA) . This was the first reported fabrication of a FinFET-like
structure. FinFETs have attracted increasing attention over the past decade because of the
degrading short-channel behavior of planar MOSFETs Figure 1 shows a conventional planar
MOSFET and a FinFET. While the planar MOSFET channel is horizontal, the FinFET channel
(also known as the fin) is vertical. Hence, the height of the channel (Hfin) determines the width
( W) of the FinFET. This leads to a special property of FinFETs known as width quantization.
This property says that the FinFET width must be a multiple of Hfin , that is, widths can be
increased by using multiple fins. Thus, arbitrary FinFET widths are not possible. Although
smaller fin heights offer more flexibility, they lead to multiple fins, which in turn leads to more
silicon area. On the other hand, taller fins lead to less silicon footprint, but may also result in
structural instability. Typically, the fin height is determined by the process engineers and is kept
below four times the fin thickness.
Figure 1: Structural comparison between (a) planer MOSFET and (b) FinFET
12
Although FinFETs implemented on SOI wafers are very popular, FinFETs have also been
implemented on conventional bulk wafers extensively . Figure 2 shows FinFETs implemented on
bulk and SOI wafers. Unlike bulk FinFETs, where all fins share a common Si substrate (also
known as the bulk), fins in SOI FinFETs are physically isolated. Some companies prefer the bulk
technology because it is easier to migrate to bulk FinFETs from conventional bulk MOSFETs.
However, FinFETs on both types of wafers are quite comparable in terms of cost, performance,
and yield, and it is premature to pick a winner.
Figure 2: Structural comparison between (a) Bulk and (b) SOI FinFET
13
3.2 FinFET Classification
There are two main types of FinFETs: shorted-gate (SG) and independent-gate (IG). SG FinFETs
are also known as three-terminal (3T) FinFETs and IG FinFETs as four-terminal (4T) FinFETs.
In SG FinFETs, both the front and back gates are physically shorted, whereas in IG FinFETs, the
gates are physically isolated (Figure 3). Thus, in SG FinFETs, both gates are jointly used to
control the electrostatics of the channel. Hence, SG FinFETs show higher on-current (Ion) and
also higher off-current (Ioff) or the subthreshold current) compared to those of IG FinFETs. IG
FinFETs offer the flexibility of applying different signals or voltages to their two gates. This
enables the use of the back-gate bias to modulate the Vth of the front gate linearly. However, IG
FinFETs incur a high area penalty due to the need for placing two separate gate contacts.
Figure 3: Structural comparison between (a) SG and (b) IG FinFET
14
SG FinFETs can be further categorized based on asymmetries in their device parameters.
Normally, the workfunctions of both the front and back gates of a FinFET are the same.
However, the workfunctions can also be made different. This leads to an asymmetric gate-
workfunction SG FinFET or ASG FinFET (Figure 4) . ASG FinFETs can be fabricated with
selective doping of the two gate-stacks. They have very promising short-channel characteristics
and have two orders of magnitude lower  Ioff compared to that of an SG FinFET, with Ion only
somewhat lower than that of an SG FinFET .
Figure 4 : Structural comparison between (a) SG and (b) ASG FinFET
15
CHAPTER 4
FinFET Standard Cells
4.1 SG/IG INV
There are four possible configurations of an INV based on how SG and IG FinFETs are
combined to implement them. They are called SG, low-power (LP), IGn, and IGp INV. Their
schematic diagrams are shown in Figure 5. As suggested by its name, an SG INV has SG
n/pFinFETs. It has a highly compact layout. The other three configurations use at least one IG
FinFET. The back-gate of an IG pFinFET (nFinFET) is tied to a VHIGH (VLOW) signal. When
these signals are reverse-biased, for example, when VHIGH is 0.2 V above VDD and is VLOW
0.2 V below ground, there is a significant reduction in Ioff . The presence of an IG FinFET also
leads to a more complex layout, resulting in 36% area overhead relative to that of an X2 SG INV
(that is double the size of a minimum-sized SG INV). Table 1 compares the normalized area,
delay, and leakage of the various INVs. Clearly, SG INV is the best in area and propagation
delay (TP), but incurs much higher leakage current than LP INV. However, LP INV performs
poorly in area and propagation delay. IGn INV, however, looks promising based on its
intermediate area, delay, and leakage.
Table 1: Comparison of FinFET Inverters
16
Figure 5 : Schematic Diagrams of (a) SG INV (b) LP INV (c) IGn INV (d) IGp INV
4.2. SG/IG NAND2
Similar to INVs, NAND2 gates also have SG (LP) configurations in which all transistors are SG
(IG) FinFETs. Since there are more transistors in a NAND2 gate than in an INV, there are more
opportunities available for combining SG and IG FinFETs. This leads to various other
configurations: MT, IG, IG2, XT, and XT2. Schematic diagrams of SG, LP, and MT NAND2
gates are shown in Figure 6. Schematic diagrams for IG, IG2, XT, and XT2 NAND2 gates are
shown in Figure 7. Table 2 shows the normalized area, delay, and leakage of all these NAND2
gates. Again, all comparisons in Table 2 are made relative to X2 SG NAND2 gate, because it is
17
the largest SG NAND2 gate that can be accommodated in the standard cell height. SG NAND2
outperforms others in area and propagation delay, but consumes significantly more leakage
current than LP NAND2. Out of all the variants, XT2 NAND2 stands out as a reasonable
compromise.
Table 2: Comparison of FinFET NAND2 gates
18
Figure 6: Schematic Diagrams of NAND2 gates (a) SG (b) LP (c) MT
Figure 7: Schematic Diagrams of NAND2 gates (a) IG (b) IG2 (c) XT (d) XT2
19
CHAPTER 5
RESULTS
Figure 8: Design of FinFET Inverter Using LTSpice Software
20
Green----Input Voltage.
Blue-----Output voltage
Figure 9 :Output of FinFET Inverter using 45nm technology node.
21
CHAPTER 6
Conclusion
6.1 Conclusion
The objective of this work is to present the design and analyse of FinFET Inverter in LTspice
software under 45nm technology. Here, all the simulated design specifications are met with the
given specifications. We learnt about the shortcomings of planar MOSFETs in today’s deeply
scaled technologies and the advantages of FinFETs as suitable replacements for planar
MOSFETs.
22
REFERENCES
[1] K. Kim et al., “Leakage Power Analysis of 25-nm Double-Gate CMOS Devices and
Circuits,” IEEE Transactions on Electron Devices, Vol. 52, No. 5, pp. 980-986, May 2005.
[2] H. Shang et al., “Investigation of FinFET Devices for 32nm Technologies and Beyond,”
Proceedings of the IEEE Symposium on VLSI Technology, pp. 54-55, June 2006.
[3] E. Nowak et al., “Turning Silicon on Its Edge,” IEEE Circuits & Devices Magazine, Vol. 20,
No. 1, pp. 20-31, January/February 2004.
[4] S. A. Tawfik and V. Kursun, “Low-Power and Compact Sequential Circuits with
Independent-Gate FinFETs,” IEEE Transactions on Electron Devices, Vol. 55, No. 1, pp. 60-70,
January 2008.
[5] Medici Device Simulator, Synopsys, Inc., February 2003.
[6] Z. Liu and V. Kursun, “Leakage Biased PMOS Sleep Switch Dynamic Circuits,” IEEE
Transactions on Circuits and Systems II, Vol. 53, No. 10, pp. 1093 – 1097, October 2006.
[7] Y. T. Hou, M. F. Li, T. Low, and D. L. Kwong, “Impact of Metal Gate Work Function on
Gate Leakage of MOSFETs,” Proceedings of the IEEE International Symposium on
Semiconductor Device Research, pp. 154-155, December 2003.
[8] Y. Taur, C. H. Wann, and D. J. Frank, “25 nm CMOS Design Considerations,” Proceedings
of the IEEE Electron Devices Meeting, pp. 789 - 792, December 1998.

More Related Content

What's hot

Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concernasinghsaroj
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFETManishKenchi
 
Finfet presentation by shuvo
Finfet presentation by shuvoFinfet presentation by shuvo
Finfet presentation by shuvoshuvo5684
 
Fin Fet Technology by SAMRA
Fin Fet  Technology by SAMRAFin Fet  Technology by SAMRA
Fin Fet Technology by SAMRABal Partap Singh
 
Latch up
Latch upLatch up
Latch upishan111
 
Finfet Transistors
Finfet TransistorsFinfet Transistors
Finfet TransistorsEsmaeil Javadi
 
MOSFET fabrication 12
MOSFET fabrication 12MOSFET fabrication 12
MOSFET fabrication 12HIMANSHU DIWAKAR
 
DOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICSDOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICSADITYA SINGH
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FETMahsa Farqarazi
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed designMinho Park
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concernvein
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FETMahsa Farqarazi
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETA. S. M. Jannatul Islam
 

What's hot (20)

Finfets
FinfetsFinfets
Finfets
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
VLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUETVLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUET
 
Finfet presentation by shuvo
Finfet presentation by shuvoFinfet presentation by shuvo
Finfet presentation by shuvo
 
Finfet Technology
Finfet TechnologyFinfet Technology
Finfet Technology
 
Fin Fet Technology by SAMRA
Fin Fet  Technology by SAMRAFin Fet  Technology by SAMRA
Fin Fet Technology by SAMRA
 
Second order effects
Second order effectsSecond order effects
Second order effects
 
Latch up
Latch upLatch up
Latch up
 
Finfet Transistors
Finfet TransistorsFinfet Transistors
Finfet Transistors
 
bandgap ppt
bandgap pptbandgap ppt
bandgap ppt
 
MOSFET fabrication 12
MOSFET fabrication 12MOSFET fabrication 12
MOSFET fabrication 12
 
DOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICSDOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICS
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FET
 
Nanometer layout handbook at high speed design
Nanometer layout handbook at high speed designNanometer layout handbook at high speed design
Nanometer layout handbook at high speed design
 
Analog vlsi
Analog vlsiAnalog vlsi
Analog vlsi
 
Esd
EsdEsd
Esd
 
Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concern
 
Short channel effect on FET
Short channel effect on FETShort channel effect on FET
Short channel effect on FET
 
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
 

Similar to Minor report

Multiple gate field effect transistors for
Multiple gate field effect transistors forMultiple gate field effect transistors for
Multiple gate field effect transistors foreSAT Journals
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design Challengesrbl87
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesIJERA Editor
 
Physical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyPhysical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyVLSICS Design
 
Physical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyPhysical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyVLSICS Design
 
A Survey on Architectural Modifications for Improving Performances of Devices...
A Survey on Architectural Modifications for Improving Performances of Devices...A Survey on Architectural Modifications for Improving Performances of Devices...
A Survey on Architectural Modifications for Improving Performances of Devices...IRJET Journal
 
Group 1_FinFET Final.pptx
Group 1_FinFET Final.pptxGroup 1_FinFET Final.pptx
Group 1_FinFET Final.pptxPrashantKashyap83
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesIOSR Journals
 
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet Technology
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet TechnologyA Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet Technology
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet TechnologyIJERA Editor
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...VLSICS Design
 
Please read the following IEEE Spectrum articles and answer the quest.pdf
Please read the following IEEE Spectrum articles and answer the quest.pdfPlease read the following IEEE Spectrum articles and answer the quest.pdf
Please read the following IEEE Spectrum articles and answer the quest.pdffasttrackcomputersol
 
Presentation finfet - SAGAR GURUNG.pptx.pdf
Presentation finfet - SAGAR GURUNG.pptx.pdfPresentation finfet - SAGAR GURUNG.pptx.pdf
Presentation finfet - SAGAR GURUNG.pptx.pdfbatpad
 
Design of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETDesign of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETIOSR Journals
 
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthInvestigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthTELKOMNIKA JOURNAL
 
HIGH FIN WIDTH MOSFET USING GAA STRUCTURE
HIGH FIN WIDTH MOSFET USING GAA STRUCTUREHIGH FIN WIDTH MOSFET USING GAA STRUCTURE
HIGH FIN WIDTH MOSFET USING GAA STRUCTUREVLSICS Design
 
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETSTHRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETSVLSICS Design
 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...IJERA Editor
 

Similar to Minor report (20)

Multiple gate field effect transistors for
Multiple gate field effect transistors forMultiple gate field effect transistors for
Multiple gate field effect transistors for
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design Challenges
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design Challenges
 
Physical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyPhysical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation Study
 
Physical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation StudyPhysical Scaling Limits of FinFET Structure: A Simulation Study
Physical Scaling Limits of FinFET Structure: A Simulation Study
 
A Survey on Architectural Modifications for Improving Performances of Devices...
A Survey on Architectural Modifications for Improving Performances of Devices...A Survey on Architectural Modifications for Improving Performances of Devices...
A Survey on Architectural Modifications for Improving Performances of Devices...
 
Group 1_FinFET Final.pptx
Group 1_FinFET Final.pptxGroup 1_FinFET Final.pptx
Group 1_FinFET Final.pptx
 
C42042729
C42042729C42042729
C42042729
 
Segmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome imagesSegmentation of Overlapped and Touching Human Chromosome images
Segmentation of Overlapped and Touching Human Chromosome images
 
A0160106
A0160106A0160106
A0160106
 
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet Technology
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet TechnologyA Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet Technology
A Noise Tolerant and Low Power Dynamic Logic Circuit Using Finfet Technology
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
 
A0210106
A0210106A0210106
A0210106
 
Please read the following IEEE Spectrum articles and answer the quest.pdf
Please read the following IEEE Spectrum articles and answer the quest.pdfPlease read the following IEEE Spectrum articles and answer the quest.pdf
Please read the following IEEE Spectrum articles and answer the quest.pdf
 
Presentation finfet - SAGAR GURUNG.pptx.pdf
Presentation finfet - SAGAR GURUNG.pptx.pdfPresentation finfet - SAGAR GURUNG.pptx.pdf
Presentation finfet - SAGAR GURUNG.pptx.pdf
 
Design of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFETDesign of Nanoscale 3-T DRAM using FinFET
Design of Nanoscale 3-T DRAM using FinFET
 
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthInvestigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
 
HIGH FIN WIDTH MOSFET USING GAA STRUCTURE
HIGH FIN WIDTH MOSFET USING GAA STRUCTUREHIGH FIN WIDTH MOSFET USING GAA STRUCTURE
HIGH FIN WIDTH MOSFET USING GAA STRUCTURE
 
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETSTHRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS
THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS
 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
 

Recently uploaded

VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 

Recently uploaded (20)

VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 

Minor report

  • 1. 1 FinFET Based NanoElectronic Circuit A Report Submitted in partial fulfilment for the degree of Master of Technology in Electronics and Communication Engineering by SHAMIM AHMED Under the Supervision of Dr. M. NIZAMUDDIN Department of Electronics and Communication, Faculty of Engineering & Technology, Jamia Millia Islamia New Delhi-110025
  • 2. 2 CERTIFICATE This is to certify that the project report entitled “FINFET BASED NANO ELECTRONIC CIRCUIT ” submitted by Shamim Ahmed to the Department of Electronics & Communication Engineering, F/O Engineering & Technology, Jamia Millia Islamia, New Delhi – 110025 in partial fulfilment for the award of the degree of M. Tech in (Electronics & Communication Engineering) is a bona fide record of project work carried out by him under my supervision. The contents of this report, in full or in parts, have not been submitted to any other Institution or University for the award of any degree. Dr. M. Nizamuddin Department of Electronics & Communication Engineering, Faculty of Engineering & Technology, Jamia Millia Islamia University, New Delhi-110025
  • 3. 3 DECLARATION I declare that this project report titled “Design Of FinFET Inverter using LTspice” submitted in partial fulfilments of the degree of M. Tech in (Electronics & Communication Engineering) is a record of original work carried out by me under the supervision of Dr. M. Nizamuddin, and has not formed the basis for the award of any other degree, in this or any other Institution or University. In keeping with the ethical practice in reporting scientific information, due acknowledgements have been made wherever the findings of others have been cited. Shamim Ahmed 19MEC018 New Delhi-110025 31/05/2021
  • 4. 4 Contents 1.Introduction 1.1 Motivation………………………………………………..8 1.2 Objective………………………………………………....8 2. Literature Review……..........................................................9 3.Building Blocks Of FinFET 3.1 FinFET…………………………………………………….11 3.2 FinFET Classification………………………………….…13 4. FinFET Standard Cells 4.1 SG/IG INV……………………………………………….15 4.2. SG/IG NAND2…………………………………………..16 5. RESULTS…………………………………………………19 6. Conclusion…………………………………………………21 7. References………………………………………………….22
  • 5. 5 List of Figures Figure Name Figure 1: Structural comparison between(a) planer MOSFETand (b) FinFET Figure 2: Structural comparisonbetween(a) Bulk and (b) SOI FinFET Figure 3: Structural comparisonbetween(a) SG and (b) IG FinFET Figure 4 : Structural comparisonbetween(a) SG and (b) ASG FinFET Figure 5 : Schematic Diagrams of(a) SG INV (b) LP INV (c) IGn INV (d) IGp INV Figure 6: Schematic Diagrams of NAND2 gates (a)SG (b) LP (c) MT Figure 7: Schematic Diagrams of NAND2 gates (a)IG (b) IG2 (c) XT (d) XT2 Figure 8: Designof FinFET Inverter Using LTSpice Software Figure 9 :Output of FinFET Inverter using 45nm technologynode.
  • 6. 6 List of Tables Table Name Table 1: Comparisonof FinFET Inverters…………………… 15 Table 2: Comparisonof FinFET NAND2 gates………………17
  • 7. 7 Abbreviations SCEs- Short-channeleffects MuGFET- Multi gate FET IC- Integratedcircuits GIDL – Gate induced drain leakage MGFET- Multiple-gate field-effecttransistors DIBL - Drain-induced barrier lowering DGFET- Double-gate FET NoC- Networks-on-chip RDF- RandomDopant fluctuation SG - Shorted-gate IG- Independent-gate ASG- Asymmetric gate
  • 8. 8 CHAPTER 1 Introduction. 1.1 Motivation VLSI technology and IC’s implementation have observed aadvancing changes over the decades. Moore’s law was coined by INTEL’s CEO in 1970s. the law predicated the trend of increasing transistors density(almost doubling every year) on the chip over years. This law was applicable for decades but now when we are dealing with a technology in nm’s the limation have challenged the engineers and researchers for new ways of implementation. We, the stakeholders for future technology should work for enhancing our cuircuit performances by proposing new ways. 1.2 Objective Since Moore’s law driven scaling of planar MOSFETs faces formidable challenges in the nanometer regime, FinFETs and Trigate FETs have emerged as their successors. Owing to the presence of multiple (two/three) gates, FinFETs/Trigate FETs are able to tackle short-channel effects (SCEs) better than conventional planar MOSFETs at deeply scaled technology nodes and thus enable continued transistor scaling. In view of the difficulties in planar CMOS transistor scaling to preserve an acceptable gate to channel control FINFET based multi-gate (MuGFET) devices have been proposed as a technology option for replacing the existing technology. The attractiveness of FINFET consists in the realization of self-aligned double-gate devices with a conventional CMOS process. This allows extending the gate scaling beyond the planar transitor limits , maintaining a steep subthreshold slope, better performance with bias voltage scaling and good matching due to low doping concentration in the channel
  • 9. 9 CHAPTER 2 LITERATURE REVIEW Relentless scaling of planar MOSFETs over the past four decades has delivered ever-increasing transistor density and performance to integrated circuits (ICs). However, continuing this trend in the nanometer regime is very challenging due to the drastic increase in the subthreshold leakage current (Ioff) . Due to the very narrow channel lengths in deeply scaled MOSFETs, the drain potential begins to influence the electrostatics of the channel and, consequently, the gate loses adequate control over the channel. As a result, the gate is unable to shut off the channel completely in the off-mode of operation, which leads to an increased Ioff between the drain and the source. The use of thinner gate oxides and high-k dielectric materials helps alleviate this problem by increasing the gate-channel capacitance. However, thinning of gate oxides is fundamentally limited by the deterioration in gate leakage and gate-induced drain leakage (GIDL) . Multiple-gate field-effect transistors (MGFETs), which are an alternative to planar MOSFETs, demonstrate better screening of the drain potential from the channel due to the proximity of the additional gate(s) to the channel (i.e., higher gate-channel capacitance) . This makes MGFETs superior to planar MOSFETs in short-channel performance metrics, such as subthreshold slope (S) , drain-induced barrier lowering (DIBL), and threshold voltage (Vth) roll- off. Improvement in these metrics implies less degradation in the transistor’s Vth with continued scaling, which in turn implies less degradation in Ioff. So far, we have referred to planar MOSFETs built on bulk-Si wafers (or bulk MOSFETs) as planar MOSFETs. Fully-depleted silicon-on-insulator (FDSOI) MOSFETs (planar MOSFETs built atop SOI wafers) avoid the extra leakage paths from the drain to source by getting rid of the extra substrate beneath the channel . Their performance metrics are comparable with those of double-gate FETs (DGFETs), which are MGFETs with two gates. Both offer reduced junction capacitance, higher Ion/Ioff ratio, better S , and improved robustness against random dopant fluctuation (RDF). However, DGFETs have a more relaxed constraint on channel thickness, which makes DGFETs more
  • 10. 10 scalable than FDSOI MOSFETs in the long run . Also, DGFET structures can be built on bulk-Si wafers, as well, which makes DGFETs more attractive to foundries that do not want to switch to an SOI process. Among all MGFETs, FinFETs (a type of DGFET) and Trigate FETs (another popular MGFET with three gates) have emerged as the most desirable alternatives to MOSFETs due to their simple structures and ease of fabrication . Two or three gates wrapped around a vertical channel enable easy alignment of gates and compatibility with the standard CMOS fabrication process. In Trigate FETs, an additional selective etching step of the hard mask is involved in order to create the third gate on top of the channel. Although this third gate adds to process complexity, it also leads to some advantages like reduced fringe capacitances and additional transistor width . FinFET/Trigate devices have been explored thoroughly in the past decade. A large number of research articles have been published that demonstrate the improved short-channel behavior of these devices over conventional bulk MOSFETs . Many researchers have presented novel circuit design styles that exploit different kinds of FinFETs. Researchers have also explored various symmetric and asymmetric FinFET styles and used them in hybrid FinFET logic gates and memories . Newer architectures for caches, networks-on-chip (NoCs), and processors based on such logic gates and memories have also been explored. In spite of these advancements in FinFET research, articles that provide a global view of FinFETs from the device level to the topmost architecture level are scarce.
  • 11. 11 CHAPTER 3 BUILDING BLOCKS OF FinFET 3.1 FinFET In 1989, Hisamato et al. fabricated a double-gate SOI structure which they called a fully-depleted lean channel transistor (DELTA) . This was the first reported fabrication of a FinFET-like structure. FinFETs have attracted increasing attention over the past decade because of the degrading short-channel behavior of planar MOSFETs Figure 1 shows a conventional planar MOSFET and a FinFET. While the planar MOSFET channel is horizontal, the FinFET channel (also known as the fin) is vertical. Hence, the height of the channel (Hfin) determines the width ( W) of the FinFET. This leads to a special property of FinFETs known as width quantization. This property says that the FinFET width must be a multiple of Hfin , that is, widths can be increased by using multiple fins. Thus, arbitrary FinFET widths are not possible. Although smaller fin heights offer more flexibility, they lead to multiple fins, which in turn leads to more silicon area. On the other hand, taller fins lead to less silicon footprint, but may also result in structural instability. Typically, the fin height is determined by the process engineers and is kept below four times the fin thickness. Figure 1: Structural comparison between (a) planer MOSFET and (b) FinFET
  • 12. 12 Although FinFETs implemented on SOI wafers are very popular, FinFETs have also been implemented on conventional bulk wafers extensively . Figure 2 shows FinFETs implemented on bulk and SOI wafers. Unlike bulk FinFETs, where all fins share a common Si substrate (also known as the bulk), fins in SOI FinFETs are physically isolated. Some companies prefer the bulk technology because it is easier to migrate to bulk FinFETs from conventional bulk MOSFETs. However, FinFETs on both types of wafers are quite comparable in terms of cost, performance, and yield, and it is premature to pick a winner. Figure 2: Structural comparison between (a) Bulk and (b) SOI FinFET
  • 13. 13 3.2 FinFET Classification There are two main types of FinFETs: shorted-gate (SG) and independent-gate (IG). SG FinFETs are also known as three-terminal (3T) FinFETs and IG FinFETs as four-terminal (4T) FinFETs. In SG FinFETs, both the front and back gates are physically shorted, whereas in IG FinFETs, the gates are physically isolated (Figure 3). Thus, in SG FinFETs, both gates are jointly used to control the electrostatics of the channel. Hence, SG FinFETs show higher on-current (Ion) and also higher off-current (Ioff) or the subthreshold current) compared to those of IG FinFETs. IG FinFETs offer the flexibility of applying different signals or voltages to their two gates. This enables the use of the back-gate bias to modulate the Vth of the front gate linearly. However, IG FinFETs incur a high area penalty due to the need for placing two separate gate contacts. Figure 3: Structural comparison between (a) SG and (b) IG FinFET
  • 14. 14 SG FinFETs can be further categorized based on asymmetries in their device parameters. Normally, the workfunctions of both the front and back gates of a FinFET are the same. However, the workfunctions can also be made different. This leads to an asymmetric gate- workfunction SG FinFET or ASG FinFET (Figure 4) . ASG FinFETs can be fabricated with selective doping of the two gate-stacks. They have very promising short-channel characteristics and have two orders of magnitude lower  Ioff compared to that of an SG FinFET, with Ion only somewhat lower than that of an SG FinFET . Figure 4 : Structural comparison between (a) SG and (b) ASG FinFET
  • 15. 15 CHAPTER 4 FinFET Standard Cells 4.1 SG/IG INV There are four possible configurations of an INV based on how SG and IG FinFETs are combined to implement them. They are called SG, low-power (LP), IGn, and IGp INV. Their schematic diagrams are shown in Figure 5. As suggested by its name, an SG INV has SG n/pFinFETs. It has a highly compact layout. The other three configurations use at least one IG FinFET. The back-gate of an IG pFinFET (nFinFET) is tied to a VHIGH (VLOW) signal. When these signals are reverse-biased, for example, when VHIGH is 0.2 V above VDD and is VLOW 0.2 V below ground, there is a significant reduction in Ioff . The presence of an IG FinFET also leads to a more complex layout, resulting in 36% area overhead relative to that of an X2 SG INV (that is double the size of a minimum-sized SG INV). Table 1 compares the normalized area, delay, and leakage of the various INVs. Clearly, SG INV is the best in area and propagation delay (TP), but incurs much higher leakage current than LP INV. However, LP INV performs poorly in area and propagation delay. IGn INV, however, looks promising based on its intermediate area, delay, and leakage. Table 1: Comparison of FinFET Inverters
  • 16. 16 Figure 5 : Schematic Diagrams of (a) SG INV (b) LP INV (c) IGn INV (d) IGp INV 4.2. SG/IG NAND2 Similar to INVs, NAND2 gates also have SG (LP) configurations in which all transistors are SG (IG) FinFETs. Since there are more transistors in a NAND2 gate than in an INV, there are more opportunities available for combining SG and IG FinFETs. This leads to various other configurations: MT, IG, IG2, XT, and XT2. Schematic diagrams of SG, LP, and MT NAND2 gates are shown in Figure 6. Schematic diagrams for IG, IG2, XT, and XT2 NAND2 gates are shown in Figure 7. Table 2 shows the normalized area, delay, and leakage of all these NAND2 gates. Again, all comparisons in Table 2 are made relative to X2 SG NAND2 gate, because it is
  • 17. 17 the largest SG NAND2 gate that can be accommodated in the standard cell height. SG NAND2 outperforms others in area and propagation delay, but consumes significantly more leakage current than LP NAND2. Out of all the variants, XT2 NAND2 stands out as a reasonable compromise. Table 2: Comparison of FinFET NAND2 gates
  • 18. 18 Figure 6: Schematic Diagrams of NAND2 gates (a) SG (b) LP (c) MT Figure 7: Schematic Diagrams of NAND2 gates (a) IG (b) IG2 (c) XT (d) XT2
  • 19. 19 CHAPTER 5 RESULTS Figure 8: Design of FinFET Inverter Using LTSpice Software
  • 20. 20 Green----Input Voltage. Blue-----Output voltage Figure 9 :Output of FinFET Inverter using 45nm technology node.
  • 21. 21 CHAPTER 6 Conclusion 6.1 Conclusion The objective of this work is to present the design and analyse of FinFET Inverter in LTspice software under 45nm technology. Here, all the simulated design specifications are met with the given specifications. We learnt about the shortcomings of planar MOSFETs in today’s deeply scaled technologies and the advantages of FinFETs as suitable replacements for planar MOSFETs.
  • 22. 22 REFERENCES [1] K. Kim et al., “Leakage Power Analysis of 25-nm Double-Gate CMOS Devices and Circuits,” IEEE Transactions on Electron Devices, Vol. 52, No. 5, pp. 980-986, May 2005. [2] H. Shang et al., “Investigation of FinFET Devices for 32nm Technologies and Beyond,” Proceedings of the IEEE Symposium on VLSI Technology, pp. 54-55, June 2006. [3] E. Nowak et al., “Turning Silicon on Its Edge,” IEEE Circuits & Devices Magazine, Vol. 20, No. 1, pp. 20-31, January/February 2004. [4] S. A. Tawfik and V. Kursun, “Low-Power and Compact Sequential Circuits with Independent-Gate FinFETs,” IEEE Transactions on Electron Devices, Vol. 55, No. 1, pp. 60-70, January 2008. [5] Medici Device Simulator, Synopsys, Inc., February 2003. [6] Z. Liu and V. Kursun, “Leakage Biased PMOS Sleep Switch Dynamic Circuits,” IEEE Transactions on Circuits and Systems II, Vol. 53, No. 10, pp. 1093 – 1097, October 2006. [7] Y. T. Hou, M. F. Li, T. Low, and D. L. Kwong, “Impact of Metal Gate Work Function on Gate Leakage of MOSFETs,” Proceedings of the IEEE International Symposium on Semiconductor Device Research, pp. 154-155, December 2003. [8] Y. Taur, C. H. Wann, and D. J. Frank, “25 nm CMOS Design Considerations,” Proceedings of the IEEE Electron Devices Meeting, pp. 789 - 792, December 1998.