SlideShare a Scribd company logo
1 of 38
Download to read offline
EUVL – getting ready
for volume introduction
SEMICON West 2010

Hans Meiling, July 14, Slide 1 | public
                        2010
Outline

• ASML’s Lithography roadmap to support Moore’s Law
• Progress on 0.25NA EUV systems
• Progress on 0.32NA EUV systems
• Outlook




               Slide 2 |   public
Outline

• ASML’s Lithography roadmap to support Moore’s Law
• Progress on 0.25NA EUV systems
• Progress on 0.32NA EUV systems
• Outlook




               Slide 3 |   public
IC & Lithography roadmap towards <10nm
                                            200
    Resolution (half pitch) "Shrink" [nm]

                                                                                                       Logic / SRAM




                                                                                                                      KrF
                                            100
                                             80




                                                                                                                      ArFi ArF
                                                AT:1200
                                             60
                                                   XT:1400                                          6 Transistor
                                             50                                                     SRAM Cell
                                                        XT:1700i                                   k1 0.40 ~ 0.44
                                             40    DRAM         NAND Flash
                                                              XT:1900i
                                             30                     NXT:1950i
                                                                                               LOGIC




                                                                                                                      DPT
                                                       EUV-ADT
                                                                            DPT
                                             20                                NXE:3100
                                                                                 NXE:3300B
                                                                                    NXE:3300C DRAM




                                                                                                                      EUV
                                                                            DPT²
                                             10 k 0.30 ~ 0.35
                                                 1              k1 0.27 ~ 0.30           DPT        NAND
                                              8
                                                02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19
    Source: Customers, ASML, 05/10                                          Year of production start
Notes:
1. R&D solution required 1.5~ 2 yrs ahead of Production
2. EUV resolution requires 7nm diffusion length resist
3. DPT = Double Patterning
                                                                Slide 4 |   public
Litho costs back to normal with EUV >100 W/hr

                              3.5
                                                       DPT case, Litho cost                           EUV case, Litho cost
                                                       increases 2 ~ 3 times                             trend returns
                               3
Litho cost per wafer [a.u.]




                              2.5

                               2

                              1.5

                               1

                              0.5
                                                                               1st Gen.    2st Gen.
                                                                                 DTP         DTP
                               0
                                    KrF set    1400 set           1900i set   1900i DPT   1900i DPT     EUV         EUV
                                                                                                      100W/hr     180W/hr


                  Source: Samsung, Prague, oct 2009

                                                      Slide 5 |     public
EUV can increase the fab capacity 2x
  Larger footprint required to support Multi Patterning schemes
                                           Track
                                                                    NXE
      NXT                                  Etch
                                           CVD
                                           Strip




Spacer
 double                                                             EUV
patterning

        1200 m2 clean room                   627 m2 clean room OR
        130k Wafers / Month                   250k Wafers / Month


                      Slide 6 |   public
Critical issues EUV 2005-2009

    2005 / 32hp              2006 / 32hp                  2007 / 22hp                 2008 / 22hp             2009 / 22hp
    1. Resist resolution,    1. Reliable high power       1. Reliable high power      1. Long-term source
       sensitivity & LER        source & collector             source & collector       operation with 100    1. MASK
       met simultaneously       module                         module                   W at IF and 5MJ/day

                                                                                      2. Defect free masks
                             2. Resist resolution,        2. Resist resolution,
                                                                                        through lifecycle &
    2. Collector lifetime       sensitivity & LER              sensitivity & LER
                                                                                        inspection/review
                                                                                                              2. SOURCE
                                met simultaneously             met simultaneously
                                                                                        infrastructure

                                                                                      3. Resist resolution,
    3. Availability of       3. Availability of defect    3. Availability of defect
       defect free mask         free mask                      free mask
                                                                                        sensitivity & LER     3. RESIST
                                                                                        met simultaneously

                             4. Reticle protection        4. Reticle protection       • Reticle protection
                                                                                                              • EUVL manufacturing
    4. Source power             during storage,                during storage,          during storage,
                                                                                                                integration
                                handling and use               handling and use         handling and use

     Reticle protection     5. Projection and            5. Projection and           • Projection /
       during storage,          illuminator optics           illuminator optics         illuminator optics
       handling and use         quality & lifetime           quality & lifetime         and mask lifetime

     Projection and
       illuminator optics
       quality & lifetime




Source: Int’l SEMATECH, EUVL Symposium, Prague (Czech Republic), 2009

                                          Slide 7 |   public
Mask infrastructure improvements on blanks &
inspection near levels needed for pilot production
                350
                                                           50 nm@M7360
                300
                                                           60 nm@M1350H
                250
Defect counts




                200
                                    1/10
                150

                100

                50

                 0
                         Q2’08    Q4’08            Q2’09            Q1’10   ML/QZ
                                          ML/ULE


                      Optical inspection able to detect phase defects <3.4 nm x 45.4 nm in size²




 1 Source: Hoya, Samsung EUV conference april 2010
 2 Source: KLA, EUV symposium Prague, October 2009

                                             Slide 8 |     public
EUV resist makes steady progress
              Extrapolation of 2004-2010 progress matches shrink roadmap

                                                      NXE:3100 (10mJ/cm2) NA/σ=0.25/0.8
                  50                                  NXE:3300 (15mJ/cm2) NA/σ=0.32/0.9
                                                      NXE:3300 (15mJ/cm2) NA/σ=0.32/dipole
                  45                                  Node Introduction

                  40
                                                                                                         22nm dense lines
     Resolution




                  35                                                                                     Dose 12.8mJ/cm2
                                                                                                         4.3nm LWR
                                                                                                         0.3NA small field
                  30

                  25

                  20

                  15
                       Ja


                                Ja


                                         Ja


                                                  Ja


                                                           Ja


                                                                     Ja


                                                                              Ja


                                                                                       Ja


                                                                                                Ja


                                                                                                          Ja


                                                                                                                   Ja
                         n-0


                                  n-0


                                           n-0


                                                    n-0


                                                             n-0


                                                                       n-0


                                                                                n-1


                                                                                         n-1


                                                                                                  n-1


                                                                                                            n-1


                                                                                                                     n-1
                            4


                                     5


                                              6


                                                       7


                                                                8


                                                                          9


                                                                                   0


                                                                                            1


                                                                                                     2


                                                                                                               3


                                                                                                                        4
Source: 22nm, Younkin et. al, Intel, 0.3NA MET tool, EUVS Prague, 2009
data scaled to resolution, dose, LWR, optics contrast
and 7% LER by KLUP/z-factor scaling
                                                  Slide 9 | public
EUVL Roadmap supports many generations of shrink
                                    2006                          2010                          2012                        2013
                         Proto System                       NXE:3100                NXE:3300B                     NXE:3300C

     Resolution                   32 nm                          27 nm                     22 nm                         16* nm

         NA / σ                0.25 / 0.5                    0.25 / 0.8            0.32 / 0.2-0.9                    0.32 / OAI

  Overlay (SMO)                   < 7 nm                     < 4.5 nm                   < 3.5 nm                         < 3 nm

Throughput W/hr                   4 W/hr                       60 W/hr                  125 W/hr                      150 W/hr

   Dose, Source        5 mJ/cm2, ~8 W 10 mJ/cm2, >100 W                    15 mJ/cm2, >250 W           15 mJ/cm2, >350 W


                  Main improvements                        Main improvements                       Platform enhancements
                  1) New EUV platform: NXE                 1) New high NA 6 mirror lens            1) Off-Axis illumination
                  2) Improved low flare optics             2) New high efficiency illuminator      2) Source power increase
                  3) New high sigma illuminator            3) Off-axis illumination optional
                  4) New high power source                 4) Source power increase
                  5) Dual stages                           5) Reduced footprint
                                                                                            * Requires <7 nm resist diffusion length




                                     Slide 10 |   public
Outline

• ASML’s Lithography roadmap to support Moore’s Law
• Progress on 0.25NA EUV systems
• Progress on 0.32NA EUV systems
• Outlook




               Slide 11 |   public
EUV process viability confirmed by two 0.25NA Systems




                                                                   13.5 nm
                                                  NA                0.25
                                                  Field size        26 x 33 mm2
                                                  Magnification     4x reduction
                                                                   0.5
                                                  •   300mm Single stage
                                                  •   linked to track
                                                  •   Single reticle load
                                                  •   Uses TWINSCAN technology
                                                  •   Sn discharge source

                                                                                   Sou
                                                                                       rce:
                                        um)                                                 Uni
                                   Belgi                                                       vers
                             en,                                                                      ity o
                      (L euv                                                                               f Al
                                                                                                                  ban
                 EC                                                                                                   y(
      ur ce : IM                                                                                                           Alb
                                                                                                                              any
 So                                                                                                                                 , NY
                                                                                                                                        ) US
                                                                                                                                            A



                                              Slide 12 |   public
Overlay performance supports device integration

On-product Overlay Residuals                                                   Single Machine Overlay
   X = 8.0 nm, Y = 7.8 nm                                                      X = 2.2 nm, Y = 2.8 nm

                                                                       300




                                                           Frequency
                                                                       200


                                                                       100


                                                                        0



                                                                       300




                                                           Frequency
                                                                       200


                                                                       100


                                                                        0

                                                                             -6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6

                                                                                  Error X      Error Y

Source: GlobalFoundries, SPIE 2010

                                     Slide 13 |   public
22 nm (0.079m2) node SRAM after etch process
     integration
        SRAM cell                                                Node Half Pitch   Cell size Cell size
                                                                 [nm]      [nm]       [μm2]    shrink
                                                                   45        80       0.314
                                                                   45        70       0.274      13%
                                                                   32        62       0.186      32%
                                                                   22        52       0.079      58%
                                                                   16        35       0.042      47%


    SRAM array




Source: IMEC, EUV Symposium ’09 (Prague)
                                           Slide 14 |   public
24nm champion resolution on 0.25NA/0.5 system
               From ~32nm half-pitch in 2007 to 24nm in 2010
               2.50
                                                                        no underlayer   underlayer
                             2007                                        TMAH dev       TMAH dev

               2.00
                                                 2008
blurred NILS




               1.50
                                                              2009

               1.00                                                  2010      24nm HP
                                                                               ~19 mJ/cm2
               0.50



               0.00
                      35        30                           25               20                15
                                                          L/S HP (nm)
                                    Slide 15 |   public
NXE:3100 integration status, July 2010
     Reticle Stage and Handler
     Reticle Handling and Stage control                         Vacuum
     in vacuum                                                  system design verified,
     Reliability testing since Q4/2009                          <1hour pump down

                                                                                              Source
                                                                                              Pilot Source operational at
                                                                                              ASML




                                                                                          Optics
                                                                                          5 Optics sets delivered,
                                                                                          Flare improved to 4%




                               Overlay                               Wafer Stage and handler
Metrology
                               Ongoing                               Wafer handling and scanning in vacuum
Alignment and Leveling
demonstrated, Metrology                                              Reliability testing since Q4/2009
position fully functional                 Imaging
                                          First slit exposed
                                          Slide 16 |   public
NXE:3100 integration: 3 systems completed
                          NXE:3100 #3
                          System completed
                          EUV source in
                          installation




           NXE:3100 #2
           System completed
           First wafer exposed            NXE:3100 #1
           Integration for Imaging        System completed
                                          Integration for
                                          Overlay, S/W, TPT




                   Slide 17 |   public

                                 PUBLIC
3 more NXE:3100 systems in build-up

      NXE:3100 #5
      Currently used for
      Stage test setup
                                                                    NXE:3100 #6
                                                                    System in buildup


         NXE:3100 #4
         System almost
         complete




                                            2 more Cabins used as work centers / test
                                            rigs. All 8 cabins can be used for NXE:3300
                                            manufacturing



                     Slide 18 |   public

                                   PUBLIC
Multiple 3100 lenses manufactured and qualified
Wavefront qualified by EUVL interferometer
                                                                                                                     RMS(Z5-Z37)
                                                               1.40                                                  RMS(spherical)
                                                                                                                     RMS(coma)
                                                               1.20                                                  RMS(ast)
                                                                                                                     RMS(3-foil)
                                                               1.00




                                                    RMS [nm]
                                                               0.80

                                                               0.60

                                                               0.40

                                                               0.20

                                                               0.00
                                                                      ADT         1          2               3                4



                                                                      Multiple 3100 lenses within flare specifications
                                                                            ~15
                                                                 8
                                                                                         Flare below 2.0 µm
                                                                 7                       Flare below 2.0 µm (variation over field)

                                                                 6
      design
                                                                 5
      example
                                                      [%]


                                                                 4
                                                                 3
                                                                 2

  •   Field size: 26mm                                           1

  •   Chief ray at mask: 6°                                      0
                                                                      ADT         1      2            3             4                5
  •   4x reduction ring field design
  •   Design is extendable to higher NA

                              Slide 19 |   public
NXE metrology verified in vacuum
Focus and Levelling                                                                                                                   Mean
                                                                                                                                      standard
                                                                                                                                      deviation over
                                                                                                                                      wafer: 0.9 nm

                                                                                                                                      99.7% value
                                                                                                                                      of standard
                                                                                                                                      deviations:
                                                                                                                                      1.6 nm



            Alignment                                                  Repeated readouts (drift corrected) (S2N)



                   Static Repro results
                  Smash 3 on fiducial <1nm              X
      0.9                                                Y
      0.8                                                                                                                      Multiple
      0.7
      0.6                                                                                                                      wafer readout
[%]




      0.5
      0.4
                                                                                                                               3 = 0.6 nm
      0.3
      0.2
      0.1
                                                                                                                   m+3s
       0                                                        1 nm                                               x: 0.5 nm
                                                                                                                   y: 0.6 nm
            Red      Green        Nir            Fir




                                        Slide 20 |     public
Reliability testing ongoing on multiple systems
  Focus on wafer- and reticle exchange functionality
                   2500                                                                                                                                                                                                5000
                                   • all wafer- and reticle
                   2250              exchanges in vacuum                                                                                                                                                               4500

                   2000            • data summed from 3                                                                                                                                                                4000
                                     different systems




                                                                                                                                                                                                                              Number of Chuckswaps
                   1750            • all exchanges under                                                                                                                                                               3500
Number of Cycles




                                     full SW control
                   1500                                                                                                                                                                                                3000

                   1250                                                                                                                                                                                                2500

                   1000                                                                                                                                                                                                2000

                    750                                                                                                                                                                                                1500

                    500                                                                                                                                                                                                1000

                    250                                                                                                                                                                                                500

                      0                                                                                                                                                                                                0
                                                                                         1012
                                                                                                1013
                                                                                                       1014
                                                                                                              1015
                                                                                                                     1016
                                                                                                                            1017
                                                                                                                                   1018
                                                                                                                                          1019
                                                                                                                                                 1020
                                                                                                                                                        1021
                                                                                                                                                               1022
                                                                                                                                                                      1023
                                                                                                                                                                             1024
                                                                                                                                                                                    1025
                                                                                  1011
                                                                           1010
                          1003
                                 1004
                                        1005
                                               1006



                                                                    1009




                                                                                                                                                                                                         1028
                                                                                                                                                                                                                1029
                                                      1007
                                                             1008




                                                                                                                                                                                           1026
                                                                                                                                                                                                  1027
                                    Reticle Exchanges total                                        Wafer Exchanges total
                                    Wafer+reticle exchanges                                        Chuckswaps




                                                                              Slide 21 |         public
Sources integrated with systems at ASML
First EUV exposures made

                                Source vessel
                                operational and
                                integrated with
                                scanner system



                                     First EUV wafer
                                          exposed on
                                           integrated
                                              system



                                CO2 laser
                                operational and
                                integrated with
                                scanner system




                   Slide 22 |   public
On-site source performance: current and expectation
Performance as installed at ASML

• Two sources shipped to ASML, 3rd one in acceptance testing.
• Two power upgrades* are planned
      • Upgrade #1
         • Increased CO2 power by increased laser gain length.
      • Upgrade #2
         • Increased CO2-to-EUV conversion efficiency.

                              Source Configuration             Raw Power Expose Power

                                                  Baseline          40 W         20 W

                                             Upgrade #1             80 W         40 W

                                             Upgrade #2            200 W        100 W


• Stable collector performance achieved on proto source.
*Ref.: D.C. Brandt (Cymer), SPIE 2010.
                                         Slide 23 |   public
Upgrade #2: Pre-pulse proof-of-concept being
validated
            4
                                                                                 With Pre-Pulse
                                                                                 Without Pre-Pulse
            3


                                                                                 pulse-peak CE, measured 2Q’10
    CE, %




            2                                                                    pulse-average CE, measured 2Q’10



            1



            0
                0   10   20   30    40     50         60    70   80   90   100
                                   Droplet Diameter, um

● The target size and density can be optimized by striking the droplet
  with a pre-pulse laser.
● The energy of the pre-pulse laser is much less than the main pulse
  and acts to expand the droplet size and reduce its density.
●     Both the energy and timing of the pre-pulse can be adjusted to
      achieve best performance.
Ref.: D.C. Brandt (Cymer), SPIE 2010.
                                         Slide 24 |    public
Significant source progress required for NXE 3300
   Roadmap commitments from multiple suppliers enable NXE productivity

                   300
                              Supplier 1
                                                        Public roadmaps enable 125 wph
                              Supplier 2
                   250                                   at 15 mJ/cm2: ~2x power to go
                              Supplier 3
Expose Power [W]




                   200


                   150


                   100


                    50


                    0
                         Feb 2009      Oct 2009          Apr 2010         NXE:3100       NXE:3300

   Source: Cymer, Ushio, Gigaphoton, SPIE 10, Gigaphoton Press release April 2010
   published data scaled with dose control and spectral filtering losses
   Data April 2010: Cymer – 30um droplets, Gigaphoton 60um droplets

                                           Slide 25 |   public
Outline

• ASML’s Lithography roadmap to support Moore’s Law
• Progress on 0.25NA EUV systems
• Progress on 0.32NA EUV systems
• Outlook




               Slide 26 |   public
NXE:3300B 1st shipment: H1 2012
2nd generation of NXE platform


                                         Specifications
                                         • NA = 0.32
                                         • Resolution 22 nm;
                                          18/16nm with OAI
                                         • Overlay 3.5 nm
                                         • Productivity 125 wph
                                          15 mJ/cm2 resist




                   Slide 27 |   public
Six-mirror lens design is extendable to 0.32 NA
Resolution improves from 27 to 22 nm

              • Field size 26 mm
              • Chief ray at mask 6°

              • Design complexity/cost
                increases
                 • Larger mirrors
                 • Steeper aspheric mirrors
                 • High angles of incidence
                                                             design
                                                             examples
             0.25 NA                    0.32 NA

NXE:3100                                          NXE:3300

                  Slide 28 |   public
Further resolution improvement with off-axis illumination
With dipole illumination resolution improves to below 16 nm

                                                                                Conventional
                                          3.0
                                         2.5    Dipole Quasar
                  Image contrast (NILS)                                                                                Annular
                                          2.0
                                                                                  Target NILS = 2
                                          1.5
                                                                                                CD  k1 
                                                                                                               
                                          1.0                                                                NA

                                          0.5
                                              11 nm             16 nm             22 nm                              32 nm
                                          0.0
                                            0.25           0.35            0.45           0.55          0.65         0.75
                                                                                  k1
    half pitch   32 nm                          28             24        22 nm      20            18         16 nm     13    11 nm
       k1        0.76                           0.66       0.57          0.52      0.47          0.43        0.38     0.31   0.26

                                                 Conventional
                                                                    Annular
                                                                                  Quasar
                                                                                                          Dipole



                                                  Slide 29 |    public
ASML c-lithography roadmap supports EUVL
      Support of ASML EUV scanners through Brion products
                               2006                               2010              2012                 2013
                              Proto                           NXE:3100         NXE:3300B            NXE:3300C
  NXE models

   OPC & LMC

             SMO

    LithoTuner



        2009.09 release               2010.06 release               2011.06 release         2012.06 release
        1. Beta release               1. Production release         1. Models: NXE:3100,    1. Models: NXE:3100,
        2. Modeling, correction       2. Models: NXE:3100              NXE:3300B               NXE:3300B, 3300C
           and verification for       3. Optimized Process          2. Source Mask          2. LithoTuner
           flare, mask                   Correction (OPC)              Optimization (SMO)
           shadowing and low          4. OPC Verification
           k1 proximity effect           (LMC)

LMC = Lithography Manufacturability Check
SMO = source-mask optimization                           public
                                            Slide 30 |
NXE:3300 footprint target is <50% of NXE:3100
    Incl. shared service area, for multiple systems in fab.




           Service Area
           Sub fab Area




NXE:3300 Footprint
NXE:3100
                                               NXE:3100 NXE:3300

Exposure Unit footprint:                            1            0.8
Subfab footprint (excl. prepumps, abatement)        1            0.4
Total footprint (incl. service area)                1            0.4
 (all area’s normalized to 3100)
                                           Slide 31 |   public
NXE:3300 mirrors are in production at Zeiss




              Slide 32 |   public
Construction of new EUV facilities has started
  Planned NXE production capacity increases ~3x




 Existing EUV offices &
manufacturing, 8 cabins.

     New EUV offices &
manufacturing,15 cabins.



                           Slide 33 |   public
Outline

• ASML’s Lithography roadmap to support Moore’s Law
• Progress on 0.25NA EUV systems
• Progress on 0.32NA EUV systems
• Outlook




               Slide 34 |   public
EUV extendibility possible beyond 10 nm resolution
Through increase of the aperture up to 0.7
          Aperture
0.25                           0.32                              0.5                      0.7




6 mirror                6 mirror                      8 mirror              6 mirror   8 mirror
                                                                 design
     Unobscured            Central obscuration                   examples
 Reference: W.Kaiser et al, SPIE 2008 6924-4
                                       Slide 35 |   public
Extendibility of EUV down to sub 5 nm possible
                Increasing apertures up to 0.7, wavelength reduction down to 6.8 nm
                using 13 nm compatible optics with depth of focus as the major challenge

                                  40                                  0.8                        k-factor@13 nm
                                                                                                 Aperture@13 nm
                                  35                                  0.7
Resolution, Depth of focus [nm]




                                                                                                 k-factor@6.8 nm
                                  30                                  0.6                        Aperture@6.8 nm




                                                                            k-factor, Aperture
                                  25                                  0.5                        Resolution
                                                                                                 DOF@13 nm
                                  20                                  0.4                        DOF@6.8 nm

                                  15                                  0.3

                                  10                                  0.2

                                  5                                   0.1

                                  0                                   0
                                      10

                                      11

                                      12

                                      13

                                      14

                                      15

                                      16



                                      18




                                      22
                                      17



                                      20

                                      21



                                      23
                                   20

                                   20

                                   20

                                   20

                                   20

                                   20

                                   20



                                   20




                                   20
                                   20



                                   20

                                   20



                                   20
                                                    Year


                                       Slide 36 |   public
Summary

• 6 NXE:3100 systems have been ordered by customers, in
 all market segments, worldwide.
    • 1st HVM source for NXE:3100 is operational at ASML.
         • performance supports system integration, and needs upgrades for 60
            W/hr.
    • NXE:3100 in final integration phase for shipment H2 2010.
         • first wafer exposed, reliability testing ongoing.

• NXE:3300B with 0.32 NA optics is planned for 1H 2012.
    • 3 source suppliers committed to meet productivity target.
    • optics manufacturing has started.
• EUVL is extendible for multiple nodes through NA and
 wavelength changes.

                      Slide 37 |   public
public

More Related Content

What's hot

Fabrication of ic's
Fabrication of ic'sFabrication of ic's
Fabrication of ic'staranjeet10
 
Fabrication of silicon on insulator (soi)
Fabrication of silicon on insulator (soi)Fabrication of silicon on insulator (soi)
Fabrication of silicon on insulator (soi)Pooja Shukla
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementYole Developpement
 
Extreme uv lithography
Extreme uv lithographyExtreme uv lithography
Extreme uv lithographyZUNAIR ARSLAN
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUsystem_plus
 
InnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical TransceiverInnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical Transceiversystem_plus
 
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...inside-BigData.com
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Yole Developpement
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingJonas Sundqvist
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684Emily Tan
 
【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】Collaborator
 

What's hot (20)

Fabrication of ic's
Fabrication of ic'sFabrication of ic's
Fabrication of ic's
 
Extreme Ultraviolet Litography (EUVL): novel patterning materials, progress a...
Extreme Ultraviolet Litography (EUVL): novel patterning materials, progress a...Extreme Ultraviolet Litography (EUVL): novel patterning materials, progress a...
Extreme Ultraviolet Litography (EUVL): novel patterning materials, progress a...
 
Fabrication of silicon on insulator (soi)
Fabrication of silicon on insulator (soi)Fabrication of silicon on insulator (soi)
Fabrication of silicon on insulator (soi)
 
Tda 90w mono
Tda 90w monoTda 90w mono
Tda 90w mono
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole Développement
 
Extreme uv lithography
Extreme uv lithographyExtreme uv lithography
Extreme uv lithography
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
 
InnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical TransceiverInnoLight’s 400G QSFP-DD Optical Transceiver
InnoLight’s 400G QSFP-DD Optical Transceiver
 
Immersion lithography
Immersion lithographyImmersion lithography
Immersion lithography
 
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturing
 
3dchips
3dchips3dchips
3dchips
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
Amplificador de 200w
Amplificador de 200wAmplificador de 200w
Amplificador de 200w
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide
 
【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】
 
ASML
ASMLASML
ASML
 

Viewers also liked

Asml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiAsml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiArzish Baaquie
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography FinalEhud Ben Ari
 
Q3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLQ3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLCarson Fears
 
D&euv lithography final
D&euv lithography finalD&euv lithography final
D&euv lithography finalZaahir Salam
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D ICZvi Or-Bach
 
PacTec 2nd Source ASML Replacement Parts List
PacTec 2nd Source  ASML Replacement Parts ListPacTec 2nd Source  ASML Replacement Parts List
PacTec 2nd Source ASML Replacement Parts ListPacTec 2nd Source
 
3 d fnal_gd
3 d fnal_gd3 d fnal_gd
3 d fnal_gdaltaf49
 
Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?brainport2020
 
Holographic data storage by Ganesh Nethi
Holographic data storage by Ganesh NethiHolographic data storage by Ganesh Nethi
Holographic data storage by Ganesh NethiGANESH N.P
 
Procurement Transformation: A Story of a Learning Journey [Amsterdam]
Procurement Transformation: A Story of a Learning Journey [Amsterdam]Procurement Transformation: A Story of a Learning Journey [Amsterdam]
Procurement Transformation: A Story of a Learning Journey [Amsterdam]SAP Ariba
 
Procurement Transformation – Story of Learning Journey
Procurement Transformation – Story of Learning JourneyProcurement Transformation – Story of Learning Journey
Procurement Transformation – Story of Learning JourneySAP Ariba
 
3D IC Technology
3D IC Technology3D IC Technology
3D IC TechnologyAnupama K
 
3D IC Presented by Tripti Kumari, School of Engineering, CUSAT
3D IC Presented by Tripti Kumari, School of Engineering, CUSAT3D IC Presented by Tripti Kumari, School of Engineering, CUSAT
3D IC Presented by Tripti Kumari, School of Engineering, CUSATthevijayps
 
how 3d technology works
how 3d technology workshow 3d technology works
how 3d technology worksYashi Rawal
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process pardis paliz
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographyRohan Deokar
 

Viewers also liked (20)

Asml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmiAsml 20160615 presentation_asml_hmi
Asml 20160615 presentation_asml_hmi
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography Final
 
Q3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASMLQ3 2015 Earnings Report- ASML
Q3 2015 Earnings Report- ASML
 
D&euv lithography final
D&euv lithography finalD&euv lithography final
D&euv lithography final
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D IC
 
PacTec 2nd Source ASML Replacement Parts List
PacTec 2nd Source  ASML Replacement Parts ListPacTec 2nd Source  ASML Replacement Parts List
PacTec 2nd Source ASML Replacement Parts List
 
3 d fnal_gd
3 d fnal_gd3 d fnal_gd
3 d fnal_gd
 
3 d ic
3 d ic3 d ic
3 d ic
 
SPIE- 9422-63 Elizabeth Buitrago
SPIE- 9422-63 Elizabeth BuitragoSPIE- 9422-63 Elizabeth Buitrago
SPIE- 9422-63 Elizabeth Buitrago
 
Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?Werving kenniswerkers do’s en don’ts?
Werving kenniswerkers do’s en don’ts?
 
Holographic data storage by Ganesh Nethi
Holographic data storage by Ganesh NethiHolographic data storage by Ganesh Nethi
Holographic data storage by Ganesh Nethi
 
Procurement Transformation: A Story of a Learning Journey [Amsterdam]
Procurement Transformation: A Story of a Learning Journey [Amsterdam]Procurement Transformation: A Story of a Learning Journey [Amsterdam]
Procurement Transformation: A Story of a Learning Journey [Amsterdam]
 
Procurement Transformation – Story of Learning Journey
Procurement Transformation – Story of Learning JourneyProcurement Transformation – Story of Learning Journey
Procurement Transformation – Story of Learning Journey
 
3D IC Technology
3D IC Technology3D IC Technology
3D IC Technology
 
3d ic
3d ic3d ic
3d ic
 
3D IC'S Technology
3D IC'S Technology3D IC'S Technology
3D IC'S Technology
 
3D IC Presented by Tripti Kumari, School of Engineering, CUSAT
3D IC Presented by Tripti Kumari, School of Engineering, CUSAT3D IC Presented by Tripti Kumari, School of Engineering, CUSAT
3D IC Presented by Tripti Kumari, School of Engineering, CUSAT
 
how 3d technology works
how 3d technology workshow 3d technology works
how 3d technology works
 
Mask fabrication process
Mask fabrication process Mask fabrication process
Mask fabrication process
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 

Similar to Asml Euv Use Forecast

Underground water line pressure monitoring
Underground water line pressure monitoringUnderground water line pressure monitoring
Underground water line pressure monitoringAsif Sheriff
 
Cmos scaling on resistive component 2012
Cmos scaling on resistive component 2012Cmos scaling on resistive component 2012
Cmos scaling on resistive component 2012Sidewinder2011
 
Green Telecom & IT Workshop: Ee routing and networking thierry klein
Green Telecom & IT Workshop: Ee routing and networking   thierry kleinGreen Telecom & IT Workshop: Ee routing and networking   thierry klein
Green Telecom & IT Workshop: Ee routing and networking thierry kleinBellLabs
 
PhoXtal APOC 2008 Presentation 081029
PhoXtal APOC 2008 Presentation 081029PhoXtal APOC 2008 Presentation 081029
PhoXtal APOC 2008 Presentation 081029CSilfvenius
 
Sigma Presentation 4
Sigma Presentation 4Sigma Presentation 4
Sigma Presentation 4wilcarjunho
 
Rfconnext Pmtl Ddr Applications
Rfconnext Pmtl Ddr ApplicationsRfconnext Pmtl Ddr Applications
Rfconnext Pmtl Ddr Applicationsrfconnex
 
74ls290datasheet
74ls290datasheet74ls290datasheet
74ls290datasheetneghy
 
IMS Service Innovation
IMS Service InnovationIMS Service Innovation
IMS Service InnovationClaude Florin
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentationwilcarjunho
 
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...ayubimoak
 
R&D Device Modeling of Crystal
R&D Device Modeling of CrystalR&D Device Modeling of Crystal
R&D Device Modeling of CrystalTsuyoshi Horigome
 
lifemirror
lifemirrorlifemirror
lifemirroratmarkit
 
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability Guide
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability GuideIBM BladeCenter The Right Choice – Open, Easy, Green Interoperability Guide
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability GuideIBM India Smarter Computing
 
2Fonet GEPON Presentation
2Fonet GEPON Presentation2Fonet GEPON Presentation
2Fonet GEPON PresentationScorpAL
 

Similar to Asml Euv Use Forecast (20)

Underground water line pressure monitoring
Underground water line pressure monitoringUnderground water line pressure monitoring
Underground water line pressure monitoring
 
Cmos scaling on resistive component 2012
Cmos scaling on resistive component 2012Cmos scaling on resistive component 2012
Cmos scaling on resistive component 2012
 
44
4444
44
 
Network
NetworkNetwork
Network
 
Network
NetworkNetwork
Network
 
Green Telecom & IT Workshop: Ee routing and networking thierry klein
Green Telecom & IT Workshop: Ee routing and networking   thierry kleinGreen Telecom & IT Workshop: Ee routing and networking   thierry klein
Green Telecom & IT Workshop: Ee routing and networking thierry klein
 
microTEC 2013 products and services
microTEC 2013 products and servicesmicroTEC 2013 products and services
microTEC 2013 products and services
 
PhoXtal APOC 2008 Presentation 081029
PhoXtal APOC 2008 Presentation 081029PhoXtal APOC 2008 Presentation 081029
PhoXtal APOC 2008 Presentation 081029
 
Sigma Presentation 4
Sigma Presentation 4Sigma Presentation 4
Sigma Presentation 4
 
Rfconnext Pmtl Ddr Applications
Rfconnext Pmtl Ddr ApplicationsRfconnext Pmtl Ddr Applications
Rfconnext Pmtl Ddr Applications
 
74ls290datasheet
74ls290datasheet74ls290datasheet
74ls290datasheet
 
IMS Service Innovation
IMS Service InnovationIMS Service Innovation
IMS Service Innovation
 
CMOS SOI Technology
CMOS SOI TechnologyCMOS SOI Technology
CMOS SOI Technology
 
TDA7293
TDA7293 TDA7293
TDA7293
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentation
 
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
 
R&D Device Modeling of Crystal
R&D Device Modeling of CrystalR&D Device Modeling of Crystal
R&D Device Modeling of Crystal
 
lifemirror
lifemirrorlifemirror
lifemirror
 
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability Guide
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability GuideIBM BladeCenter The Right Choice – Open, Easy, Green Interoperability Guide
IBM BladeCenter The Right Choice – Open, Easy, Green Interoperability Guide
 
2Fonet GEPON Presentation
2Fonet GEPON Presentation2Fonet GEPON Presentation
2Fonet GEPON Presentation
 

More from Knowledge_Broker

More from Knowledge_Broker (15)

Nikon 2012annual e
Nikon 2012annual eNikon 2012annual e
Nikon 2012annual e
 
M&amp;A Deals
M&amp;A DealsM&amp;A Deals
M&amp;A Deals
 
Kktv Fire 2012
Kktv Fire 2012Kktv Fire 2012
Kktv Fire 2012
 
Request For Support 2003
Request For Support 2003Request For Support 2003
Request For Support 2003
 
Instructional Design
Instructional DesignInstructional Design
Instructional Design
 
Word Cloud
Word CloudWord Cloud
Word Cloud
 
Technical Trainer/ Instructional Designer Resume
Technical Trainer/ Instructional Designer ResumeTechnical Trainer/ Instructional Designer Resume
Technical Trainer/ Instructional Designer Resume
 
S Van Haver Optimized 2009 Enz Zernike
S Van Haver Optimized 2009 Enz ZernikeS Van Haver Optimized 2009 Enz Zernike
S Van Haver Optimized 2009 Enz Zernike
 
Nikon Q1
Nikon Q1Nikon Q1
Nikon Q1
 
2010 Q2 Forecast
2010 Q2 Forecast2010 Q2 Forecast
2010 Q2 Forecast
 
KBI Executive Talking Points
KBI Executive Talking PointsKBI Executive Talking Points
KBI Executive Talking Points
 
EBI Equivalence Based Instruction Jaba 43 01 0019
EBI Equivalence Based Instruction Jaba 43 01 0019EBI Equivalence Based Instruction Jaba 43 01 0019
EBI Equivalence Based Instruction Jaba 43 01 0019
 
Feedback And Development Contribution
Feedback And Development ContributionFeedback And Development Contribution
Feedback And Development Contribution
 
Expanded Discussion V2
Expanded Discussion  V2Expanded Discussion  V2
Expanded Discussion V2
 
Ismi Efficiency Improvement Initiative V5
Ismi Efficiency Improvement Initiative V5Ismi Efficiency Improvement Initiative V5
Ismi Efficiency Improvement Initiative V5
 

Asml Euv Use Forecast

  • 1. EUVL – getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, Slide 1 | public 2010
  • 2. Outline • ASML’s Lithography roadmap to support Moore’s Law • Progress on 0.25NA EUV systems • Progress on 0.32NA EUV systems • Outlook Slide 2 | public
  • 3. Outline • ASML’s Lithography roadmap to support Moore’s Law • Progress on 0.25NA EUV systems • Progress on 0.32NA EUV systems • Outlook Slide 3 | public
  • 4. IC & Lithography roadmap towards <10nm 200 Resolution (half pitch) "Shrink" [nm] Logic / SRAM KrF 100 80 ArFi ArF AT:1200 60 XT:1400 6 Transistor 50 SRAM Cell XT:1700i k1 0.40 ~ 0.44 40 DRAM NAND Flash XT:1900i 30 NXT:1950i LOGIC DPT EUV-ADT DPT 20 NXE:3100 NXE:3300B NXE:3300C DRAM EUV DPT² 10 k 0.30 ~ 0.35 1 k1 0.27 ~ 0.30 DPT NAND 8 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 Source: Customers, ASML, 05/10 Year of production start Notes: 1. R&D solution required 1.5~ 2 yrs ahead of Production 2. EUV resolution requires 7nm diffusion length resist 3. DPT = Double Patterning Slide 4 | public
  • 5. Litho costs back to normal with EUV >100 W/hr 3.5 DPT case, Litho cost EUV case, Litho cost increases 2 ~ 3 times trend returns 3 Litho cost per wafer [a.u.] 2.5 2 1.5 1 0.5 1st Gen. 2st Gen. DTP DTP 0 KrF set 1400 set 1900i set 1900i DPT 1900i DPT EUV EUV 100W/hr 180W/hr Source: Samsung, Prague, oct 2009 Slide 5 | public
  • 6. EUV can increase the fab capacity 2x Larger footprint required to support Multi Patterning schemes Track NXE NXT Etch CVD Strip Spacer double EUV patterning 1200 m2 clean room 627 m2 clean room OR 130k Wafers / Month 250k Wafers / Month Slide 6 | public
  • 7. Critical issues EUV 2005-2009 2005 / 32hp 2006 / 32hp 2007 / 22hp 2008 / 22hp 2009 / 22hp 1. Resist resolution, 1. Reliable high power 1. Reliable high power 1. Long-term source sensitivity & LER source & collector source & collector operation with 100 1. MASK met simultaneously module module W at IF and 5MJ/day 2. Defect free masks 2. Resist resolution, 2. Resist resolution, through lifecycle & 2. Collector lifetime sensitivity & LER sensitivity & LER inspection/review 2. SOURCE met simultaneously met simultaneously infrastructure 3. Resist resolution, 3. Availability of 3. Availability of defect 3. Availability of defect defect free mask free mask free mask sensitivity & LER 3. RESIST met simultaneously 4. Reticle protection 4. Reticle protection • Reticle protection • EUVL manufacturing 4. Source power during storage, during storage, during storage, integration handling and use handling and use handling and use  Reticle protection 5. Projection and 5. Projection and • Projection / during storage, illuminator optics illuminator optics illuminator optics handling and use quality & lifetime quality & lifetime and mask lifetime  Projection and illuminator optics quality & lifetime Source: Int’l SEMATECH, EUVL Symposium, Prague (Czech Republic), 2009 Slide 7 | public
  • 8. Mask infrastructure improvements on blanks & inspection near levels needed for pilot production 350 50 nm@M7360 300 60 nm@M1350H 250 Defect counts 200 1/10 150 100 50 0 Q2’08 Q4’08 Q2’09 Q1’10 ML/QZ ML/ULE Optical inspection able to detect phase defects <3.4 nm x 45.4 nm in size² 1 Source: Hoya, Samsung EUV conference april 2010 2 Source: KLA, EUV symposium Prague, October 2009 Slide 8 | public
  • 9. EUV resist makes steady progress Extrapolation of 2004-2010 progress matches shrink roadmap NXE:3100 (10mJ/cm2) NA/σ=0.25/0.8 50 NXE:3300 (15mJ/cm2) NA/σ=0.32/0.9 NXE:3300 (15mJ/cm2) NA/σ=0.32/dipole 45 Node Introduction 40 22nm dense lines Resolution 35 Dose 12.8mJ/cm2 4.3nm LWR 0.3NA small field 30 25 20 15 Ja Ja Ja Ja Ja Ja Ja Ja Ja Ja Ja n-0 n-0 n-0 n-0 n-0 n-0 n-1 n-1 n-1 n-1 n-1 4 5 6 7 8 9 0 1 2 3 4 Source: 22nm, Younkin et. al, Intel, 0.3NA MET tool, EUVS Prague, 2009 data scaled to resolution, dose, LWR, optics contrast and 7% LER by KLUP/z-factor scaling Slide 9 | public
  • 10. EUVL Roadmap supports many generations of shrink 2006 2010 2012 2013 Proto System NXE:3100 NXE:3300B NXE:3300C Resolution 32 nm 27 nm 22 nm 16* nm NA / σ 0.25 / 0.5 0.25 / 0.8 0.32 / 0.2-0.9 0.32 / OAI Overlay (SMO) < 7 nm < 4.5 nm < 3.5 nm < 3 nm Throughput W/hr 4 W/hr 60 W/hr 125 W/hr 150 W/hr Dose, Source 5 mJ/cm2, ~8 W 10 mJ/cm2, >100 W 15 mJ/cm2, >250 W 15 mJ/cm2, >350 W Main improvements Main improvements Platform enhancements 1) New EUV platform: NXE 1) New high NA 6 mirror lens 1) Off-Axis illumination 2) Improved low flare optics 2) New high efficiency illuminator 2) Source power increase 3) New high sigma illuminator 3) Off-axis illumination optional 4) New high power source 4) Source power increase 5) Dual stages 5) Reduced footprint * Requires <7 nm resist diffusion length Slide 10 | public
  • 11. Outline • ASML’s Lithography roadmap to support Moore’s Law • Progress on 0.25NA EUV systems • Progress on 0.32NA EUV systems • Outlook Slide 11 | public
  • 12. EUV process viability confirmed by two 0.25NA Systems  13.5 nm NA 0.25 Field size 26 x 33 mm2 Magnification 4x reduction  0.5 • 300mm Single stage • linked to track • Single reticle load • Uses TWINSCAN technology • Sn discharge source Sou rce: um) Uni Belgi vers en, ity o (L euv f Al ban EC y( ur ce : IM Alb any So , NY ) US A Slide 12 | public
  • 13. Overlay performance supports device integration On-product Overlay Residuals Single Machine Overlay X = 8.0 nm, Y = 7.8 nm X = 2.2 nm, Y = 2.8 nm 300 Frequency 200 100 0 300 Frequency 200 100 0 -6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 Error X Error Y Source: GlobalFoundries, SPIE 2010 Slide 13 | public
  • 14. 22 nm (0.079m2) node SRAM after etch process integration SRAM cell Node Half Pitch Cell size Cell size [nm] [nm] [μm2] shrink 45 80 0.314 45 70 0.274 13% 32 62 0.186 32% 22 52 0.079 58% 16 35 0.042 47% SRAM array Source: IMEC, EUV Symposium ’09 (Prague) Slide 14 | public
  • 15. 24nm champion resolution on 0.25NA/0.5 system From ~32nm half-pitch in 2007 to 24nm in 2010 2.50 no underlayer underlayer 2007 TMAH dev TMAH dev 2.00 2008 blurred NILS 1.50 2009 1.00 2010 24nm HP ~19 mJ/cm2 0.50 0.00 35 30 25 20 15 L/S HP (nm) Slide 15 | public
  • 16. NXE:3100 integration status, July 2010 Reticle Stage and Handler Reticle Handling and Stage control Vacuum in vacuum system design verified, Reliability testing since Q4/2009 <1hour pump down Source Pilot Source operational at ASML Optics 5 Optics sets delivered, Flare improved to 4% Overlay Wafer Stage and handler Metrology Ongoing Wafer handling and scanning in vacuum Alignment and Leveling demonstrated, Metrology Reliability testing since Q4/2009 position fully functional Imaging First slit exposed Slide 16 | public
  • 17. NXE:3100 integration: 3 systems completed NXE:3100 #3 System completed EUV source in installation NXE:3100 #2 System completed First wafer exposed NXE:3100 #1 Integration for Imaging System completed Integration for Overlay, S/W, TPT Slide 17 | public PUBLIC
  • 18. 3 more NXE:3100 systems in build-up NXE:3100 #5 Currently used for Stage test setup NXE:3100 #6 System in buildup NXE:3100 #4 System almost complete 2 more Cabins used as work centers / test rigs. All 8 cabins can be used for NXE:3300 manufacturing Slide 18 | public PUBLIC
  • 19. Multiple 3100 lenses manufactured and qualified Wavefront qualified by EUVL interferometer RMS(Z5-Z37) 1.40 RMS(spherical) RMS(coma) 1.20 RMS(ast) RMS(3-foil) 1.00 RMS [nm] 0.80 0.60 0.40 0.20 0.00 ADT 1 2 3 4 Multiple 3100 lenses within flare specifications ~15 8 Flare below 2.0 µm 7 Flare below 2.0 µm (variation over field) 6 design 5 example [%] 4 3 2 • Field size: 26mm 1 • Chief ray at mask: 6° 0 ADT 1 2 3 4 5 • 4x reduction ring field design • Design is extendable to higher NA Slide 19 | public
  • 20. NXE metrology verified in vacuum Focus and Levelling Mean standard deviation over wafer: 0.9 nm 99.7% value of standard deviations: 1.6 nm Alignment Repeated readouts (drift corrected) (S2N) Static Repro results Smash 3 on fiducial <1nm X 0.9 Y 0.8 Multiple 0.7 0.6 wafer readout [%] 0.5 0.4 3 = 0.6 nm 0.3 0.2 0.1 m+3s 0 1 nm x: 0.5 nm y: 0.6 nm Red Green Nir Fir Slide 20 | public
  • 21. Reliability testing ongoing on multiple systems Focus on wafer- and reticle exchange functionality 2500 5000 • all wafer- and reticle 2250 exchanges in vacuum 4500 2000 • data summed from 3 4000 different systems Number of Chuckswaps 1750 • all exchanges under 3500 Number of Cycles full SW control 1500 3000 1250 2500 1000 2000 750 1500 500 1000 250 500 0 0 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1011 1010 1003 1004 1005 1006 1009 1028 1029 1007 1008 1026 1027 Reticle Exchanges total Wafer Exchanges total Wafer+reticle exchanges Chuckswaps Slide 21 | public
  • 22. Sources integrated with systems at ASML First EUV exposures made Source vessel operational and integrated with scanner system First EUV wafer exposed on integrated system CO2 laser operational and integrated with scanner system Slide 22 | public
  • 23. On-site source performance: current and expectation Performance as installed at ASML • Two sources shipped to ASML, 3rd one in acceptance testing. • Two power upgrades* are planned • Upgrade #1 • Increased CO2 power by increased laser gain length. • Upgrade #2 • Increased CO2-to-EUV conversion efficiency. Source Configuration Raw Power Expose Power Baseline 40 W 20 W Upgrade #1 80 W 40 W Upgrade #2 200 W 100 W • Stable collector performance achieved on proto source. *Ref.: D.C. Brandt (Cymer), SPIE 2010. Slide 23 | public
  • 24. Upgrade #2: Pre-pulse proof-of-concept being validated 4 With Pre-Pulse Without Pre-Pulse 3 pulse-peak CE, measured 2Q’10 CE, % 2 pulse-average CE, measured 2Q’10 1 0 0 10 20 30 40 50 60 70 80 90 100 Droplet Diameter, um ● The target size and density can be optimized by striking the droplet with a pre-pulse laser. ● The energy of the pre-pulse laser is much less than the main pulse and acts to expand the droplet size and reduce its density. ● Both the energy and timing of the pre-pulse can be adjusted to achieve best performance. Ref.: D.C. Brandt (Cymer), SPIE 2010. Slide 24 | public
  • 25. Significant source progress required for NXE 3300 Roadmap commitments from multiple suppliers enable NXE productivity 300 Supplier 1 Public roadmaps enable 125 wph Supplier 2 250 at 15 mJ/cm2: ~2x power to go Supplier 3 Expose Power [W] 200 150 100 50 0 Feb 2009 Oct 2009 Apr 2010 NXE:3100 NXE:3300 Source: Cymer, Ushio, Gigaphoton, SPIE 10, Gigaphoton Press release April 2010 published data scaled with dose control and spectral filtering losses Data April 2010: Cymer – 30um droplets, Gigaphoton 60um droplets Slide 25 | public
  • 26. Outline • ASML’s Lithography roadmap to support Moore’s Law • Progress on 0.25NA EUV systems • Progress on 0.32NA EUV systems • Outlook Slide 26 | public
  • 27. NXE:3300B 1st shipment: H1 2012 2nd generation of NXE platform Specifications • NA = 0.32 • Resolution 22 nm; 18/16nm with OAI • Overlay 3.5 nm • Productivity 125 wph 15 mJ/cm2 resist Slide 27 | public
  • 28. Six-mirror lens design is extendable to 0.32 NA Resolution improves from 27 to 22 nm • Field size 26 mm • Chief ray at mask 6° • Design complexity/cost increases • Larger mirrors • Steeper aspheric mirrors • High angles of incidence design examples 0.25 NA 0.32 NA NXE:3100 NXE:3300 Slide 28 | public
  • 29. Further resolution improvement with off-axis illumination With dipole illumination resolution improves to below 16 nm Conventional 3.0  2.5 Dipole Quasar Image contrast (NILS) Annular 2.0 Target NILS = 2 1.5  CD  k1   1.0 NA 0.5 11 nm 16 nm 22 nm 32 nm 0.0 0.25 0.35 0.45 0.55 0.65 0.75 k1 half pitch 32 nm 28 24 22 nm 20 18 16 nm 13 11 nm k1 0.76 0.66 0.57 0.52 0.47 0.43 0.38 0.31 0.26 Conventional Annular Quasar Dipole Slide 29 | public
  • 30. ASML c-lithography roadmap supports EUVL Support of ASML EUV scanners through Brion products 2006 2010 2012 2013 Proto NXE:3100 NXE:3300B NXE:3300C NXE models OPC & LMC SMO LithoTuner 2009.09 release 2010.06 release 2011.06 release 2012.06 release 1. Beta release 1. Production release 1. Models: NXE:3100, 1. Models: NXE:3100, 2. Modeling, correction 2. Models: NXE:3100 NXE:3300B NXE:3300B, 3300C and verification for 3. Optimized Process 2. Source Mask 2. LithoTuner flare, mask Correction (OPC) Optimization (SMO) shadowing and low 4. OPC Verification k1 proximity effect (LMC) LMC = Lithography Manufacturability Check SMO = source-mask optimization public Slide 30 |
  • 31. NXE:3300 footprint target is <50% of NXE:3100 Incl. shared service area, for multiple systems in fab. Service Area Sub fab Area NXE:3300 Footprint NXE:3100 NXE:3100 NXE:3300 Exposure Unit footprint: 1 0.8 Subfab footprint (excl. prepumps, abatement) 1 0.4 Total footprint (incl. service area) 1 0.4 (all area’s normalized to 3100) Slide 31 | public
  • 32. NXE:3300 mirrors are in production at Zeiss Slide 32 | public
  • 33. Construction of new EUV facilities has started Planned NXE production capacity increases ~3x Existing EUV offices & manufacturing, 8 cabins. New EUV offices & manufacturing,15 cabins. Slide 33 | public
  • 34. Outline • ASML’s Lithography roadmap to support Moore’s Law • Progress on 0.25NA EUV systems • Progress on 0.32NA EUV systems • Outlook Slide 34 | public
  • 35. EUV extendibility possible beyond 10 nm resolution Through increase of the aperture up to 0.7 Aperture 0.25 0.32 0.5 0.7 6 mirror 6 mirror 8 mirror 6 mirror 8 mirror design Unobscured Central obscuration examples Reference: W.Kaiser et al, SPIE 2008 6924-4 Slide 35 | public
  • 36. Extendibility of EUV down to sub 5 nm possible Increasing apertures up to 0.7, wavelength reduction down to 6.8 nm using 13 nm compatible optics with depth of focus as the major challenge 40 0.8 k-factor@13 nm Aperture@13 nm 35 0.7 Resolution, Depth of focus [nm] k-factor@6.8 nm 30 0.6 Aperture@6.8 nm k-factor, Aperture 25 0.5 Resolution DOF@13 nm 20 0.4 DOF@6.8 nm 15 0.3 10 0.2 5 0.1 0 0 10 11 12 13 14 15 16 18 22 17 20 21 23 20 20 20 20 20 20 20 20 20 20 20 20 20 Year Slide 36 | public
  • 37. Summary • 6 NXE:3100 systems have been ordered by customers, in all market segments, worldwide. • 1st HVM source for NXE:3100 is operational at ASML. • performance supports system integration, and needs upgrades for 60 W/hr. • NXE:3100 in final integration phase for shipment H2 2010. • first wafer exposed, reliability testing ongoing. • NXE:3300B with 0.32 NA optics is planned for 1H 2012. • 3 source suppliers committed to meet productivity target. • optics manufacturing has started. • EUVL is extendible for multiple nodes through NA and wavelength changes. Slide 37 | public