SlideShare a Scribd company logo
1 of 4
Download to read offline
1SemiStar Corp. www.semistarcorp.com
SemiStar Corp.
380 Tennant Ave., Suite 5.
Morgan Hill, CA95037
Tel (408)612-1209
Email: sales@semistarcorp.com
Product Description
An Advanced Rapid Thermal Processing System with Multi-Gas Capabilities
The RTP-3000 is a fully automated production system. The system with a 200mm
chamber is capable of up to 6″ Compound Semiconductor, or with a 300mm chamber up
to 12″ Silicon wafer processing.
PROCESSES
• Contact alloying
• Implant activation
• Silicide formation
• Nitridation of metals
• Oxidation
• Glass reflow
2SemiStar Corp. www.semistarcorp.com
SemiStar Corp.
380 Tennant Ave., Suite 5.
Morgan Hill, CA95037
Tel (408)612-1209
Email: sales@semistarcorp.com
FEATURES
• Dual-Arm Robot Transport
• Dual Cassette Platform
• Quartz Processing Chamber
• Quartz Wafer Processing Tray
• Four-layer Tungsten Halogen Lamp Array Heating
• Zone Control with unique lamp arrangement ensures highly uniform heating of up
to 12″ Silicon Wafers (300mm chamber) or 8″ Silicon Wafers / 6” GaAs Wafers with 8″
O.D. Susceptor (200mm chamber)
• Extended Range Pyrometer Plus (ERP+) internally cooled pyrometer for extended
temperature range from 350 – 1250 Degrees C
• Electro polished stainless steel (316L) gas plumbing with VCR Connectors
• MFC controlled gas lines, up to ten (10) gas lines available.
TEMPERATURE CONTROL
The RTP-3000 System features a loop temperature control algorithim with a temperature
control stability of +/- 2 deg. C from set point. This feature greatly simplifies
programming complex multi-step cycles, since no “tweaking” of system variables is
required. The Lamp Calibration feature allows user-optimization of heating uniformity.
Software diagnostics are provided to monitor each lamp and compensate for lamp aging
effects.
TEMPERATURE MEASUREMENT
3SemiStar Corp. www.semistarcorp.com
SemiStar Corp.
380 Tennant Ave., Suite 5.
Morgan Hill, CA95037
Tel (408)612-1209
Email: sales@semistarcorp.com
The temperature measurement techniques available for the RTP-3000 system are
thermocouple, pyrometer. The type-K thermocouple is useful for low-temperature
processing and calibrating the pyrometer. The Extended Range Pyrometer Plus (ERP+)
internally cooled pyrometer can be used to measure wafer temperatures in the range of
350-1250 deg. C. (Actual range depends upon process, wafer type and system
configuration.)
SOFTWARE
An integrated software package has been developed to control the RTP-3000 System. The
software modules interactively serve to integrate the various functions and operations of
this system. Software features allow convenient recipe creation and editing. Process data
may be collected during a run cycle and stored in a data file for later display and analysis.
The process and recipe data files can be internally stored as DIF (Data Interchange
Format) which can be imported into popular software packages such as Lotus 1-2-3, as a
worksheet for display, plotting and further analysis.
RTP-3000 Software Features
• Real-Time Process Control
• Real-Time Graphics Display
• Real-Time Process Data Collection
• Recipe Editor
• Recipe Validation
• Process Data File Management
4SemiStar Corp. www.semistarcorp.com
SemiStar Corp.
380 Tennant Ave., Suite 5.
Morgan Hill, CA95037
Tel (408)612-1209
Email: sales@semistarcorp.com
• Process Data File Display and Analysis
• System Diagnostics
• System Configuration Utilities
The valid time is subject to prior sale without notice.
Plasma Etcher, Pl ease Etchi ng, Dr y Etching, Dr yClean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equipment, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rai nbow 4400, Lam R ainbow 4420, Lam R ainbow 4428, Lam R ainbow 4500, Lam Rai nbow 4520, Lam R ainbow 4528, Lam Rai nbow 4600, Lam R ainbow 4620, Lam R ai nbow 4628, Lam Rai nbow 4700, Lam R ainbow 4720, Lam Rai nbow 4728,
Plasma Asher, Pl asma Descum, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Matrix 105, M atri x 205, Matrix 303, M atri x 403,M atri x 106,Matrix 104, M atri x 102,M atri x 101, Matri x 10, System One Stripper, Model 105, System One Etcher , model 303, model 403
Plasma Asher, Pl asma Descum, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Br anson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher
Plasma Asher, Pl asma Descum, Dr yCl ean, D ownstr eam Asher,Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Gasonics Aura 1000, Gasonics Aur a 2000, Gasonics Aur a 3000, Gasonics L3510, Gasonics Aur a 3010
Plasma Etcher, Pl ease Etchi ng, Dr y Etching, Dr yClean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equipment, Teg al 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Teg al 703,Tegal 801,Tegal 803,Teg al 981e,Teg al 903e
Plasma Etcher, Pl asma Etching, Dr y Etchi ng, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Eq uipment, Semiconductor Process Equi pment, Gasonics AE 2001, Microwave Etcher, Micr owave Pl asma Etcher, Micr owave Etch, Downstream Pl asma Etch
Rapi d Ther mal Process, Rapid Ther mal Pr ocessing, R apid Ther mal Anneal, R api d Ther mal Annealing, Rapid Ther mal Oxi dation, R apid Ther mal Ni tride, RTA, RTP, RTO, RTN,, Semiconductor Eq uipment, Used Semiconductor Eq uipment, Semiconductor Process Eq uipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associ ates, Heatpulse 210, H eatpulse 410, Mi nipulse 310, H eatpulse 610, Heatpul se 610I, AG Heatpulse 410, AG H eatpulse 610, AG H eatpulse 210, AG Mini pulse 310, Atmospheric R apid Ther mal Process, Vacuum R api d Ther mal Process, Furnace, Oven, Ther mal Fur nace, Ther mal Pr ocess, Ther mal Processi ng,JIPELEC, ag2146,JetClip,JetStar, AST SH S2000, AST STEAG 2800, ssintegration, R apid Ther mal Oxi de,JetFirst ,M attson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG- MATTSON 2800, heat pulse, Sol aris, Eclipse ,modular pro, RLA-1000, AG H eatpulse, rapid ther mal pr ocessor, Steag AST SHS2000, Solaris 75,
Sol aris75,STEAG El ectr onic Systems ,eng-sol, Annealsys, R LA- 3000, Engi neering Sol uti ons ,Sol aris 150, R apid Thermal Anneal er , AS-Master ,modul arpr o,RTO ,M odular Pr ocess Technol ogy, Sol aris150,AS-One,AS-Micro,
Mgage 200, Mg age 300, M-gage 200, M-gage 300,Sheet Resistant measurement, M etrol og y, Tencor M-Gag e 300,Tencor M-Gag e 200, sheet r esistance, sheet r esistance Measurement, Semiconductor Equipment, Semiconductor metr olog y Equi pment, KLA-Tencor, Tencor
Per ki n-El mer 4400, Per kin- Elmer 4410, Per ki n-El mer 4450,Per kin-El mer 4480, Per ki n-El mer 2400, Per kin El mer 4400, Per ki n El mer 4410, Per ki n Elmer 4450,Per ki n El mer 4480, Per ki n Elmer 2400, Sputter, Mag netron Sputter, Di ode Sputter, DC Sputter , RF Sputter, DC M agnetron Sputter , RF M agnetron Sputter, C o-sputter, R eacti ve Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dr y Clean, Bias Functi on, C athode, Load l ock, Degas, Semiconductor Equipment, Used Semiconductor Equi pment, Semiconductor Process Equi pment,, Thi n Fil m, M etal Thin Film, Thin Fil m D eposi tion, PVD, Physical Vapor D epositi on

More Related Content

What's hot

Loranger product presentation
Loranger product presentationLoranger product presentation
Loranger product presentation
guestf30abb7e
 

What's hot (14)

Datablad ua741 operasjonsforsterer OP-AMP OPAMP Forsterker operational amplif...
Datablad ua741 operasjonsforsterer OP-AMP OPAMP Forsterker operational amplif...Datablad ua741 operasjonsforsterer OP-AMP OPAMP Forsterker operational amplif...
Datablad ua741 operasjonsforsterer OP-AMP OPAMP Forsterker operational amplif...
 
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
 
Geophones brochure sercel_en
Geophones brochure sercel_enGeophones brochure sercel_en
Geophones brochure sercel_en
 
Semiconductor Equipment and Spare Parts 7
Semiconductor Equipment and Spare Parts    7Semiconductor Equipment and Spare Parts    7
Semiconductor Equipment and Spare Parts 7
 
Scorpion ASD aspiratie test module SenseTek.nl
Scorpion ASD aspiratie test module SenseTek.nlScorpion ASD aspiratie test module SenseTek.nl
Scorpion ASD aspiratie test module SenseTek.nl
 
Drager Polytron Pulsar Fixed Gas Detectors - Spec Sheet
Drager Polytron Pulsar Fixed Gas Detectors - Spec SheetDrager Polytron Pulsar Fixed Gas Detectors - Spec Sheet
Drager Polytron Pulsar Fixed Gas Detectors - Spec Sheet
 
Hoja de datos lm 555 y configuraciones
Hoja de datos lm 555 y configuraciones Hoja de datos lm 555 y configuraciones
Hoja de datos lm 555 y configuraciones
 
Loranger product presentation
Loranger product presentationLoranger product presentation
Loranger product presentation
 
Dau can-ohaus-t31 p-t32xw-t32me-t32mc
Dau can-ohaus-t31 p-t32xw-t32me-t32mcDau can-ohaus-t31 p-t32xw-t32me-t32mc
Dau can-ohaus-t31 p-t32xw-t32me-t32mc
 
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas PanasonicOriginal IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
 
Solution: Stainless Steel Weld Inspection
Solution: Stainless Steel Weld InspectionSolution: Stainless Steel Weld Inspection
Solution: Stainless Steel Weld Inspection
 
Original IGBT FGD4536 4536 50A 360V TO-252 New
Original IGBT FGD4536 4536 50A 360V TO-252 NewOriginal IGBT FGD4536 4536 50A 360V TO-252 New
Original IGBT FGD4536 4536 50A 360V TO-252 New
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
Original NPN Transistor 2SD1273 D1273 TO-220F New Panasonic
Original NPN Transistor 2SD1273 D1273 TO-220F New PanasonicOriginal NPN Transistor 2SD1273 D1273 TO-220F New Panasonic
Original NPN Transistor 2SD1273 D1273 TO-220F New Panasonic
 

Viewers also liked

Albert the great
Albert the greatAlbert the great
Albert the great
nigelfam
 
Ingeniería de transito
Ingeniería de transitoIngeniería de transito
Ingeniería de transito
yeinifd8
 

Viewers also liked (20)

Evincesocmed
EvincesocmedEvincesocmed
Evincesocmed
 
TIPOS DE SISTEMAS.
TIPOS DE SISTEMAS.TIPOS DE SISTEMAS.
TIPOS DE SISTEMAS.
 
RUOLI E COMPITI DEL PROFESSIONISTA NEL CONTESTO CIVILE.
RUOLI E COMPITI DEL PROFESSIONISTA NEL CONTESTO CIVILE.RUOLI E COMPITI DEL PROFESSIONISTA NEL CONTESTO CIVILE.
RUOLI E COMPITI DEL PROFESSIONISTA NEL CONTESTO CIVILE.
 
Fijación externa t y o
Fijación externa t y oFijación externa t y o
Fijación externa t y o
 
Jornada por la vida 2017-Subsidio litúrgico
Jornada por la vida 2017-Subsidio litúrgicoJornada por la vida 2017-Subsidio litúrgico
Jornada por la vida 2017-Subsidio litúrgico
 
2017chef paul resume 29 yrs
2017chef paul resume 29 yrs2017chef paul resume 29 yrs
2017chef paul resume 29 yrs
 
Basura Electrónica
Basura ElectrónicaBasura Electrónica
Basura Electrónica
 
Тестовая презентация
Тестовая презентацияТестовая презентация
Тестовая презентация
 
Albert the great
Albert the greatAlbert the great
Albert the great
 
Campamento de Verano 2017 Los Alcázares
Campamento de Verano 2017  Los AlcázaresCampamento de Verano 2017  Los Alcázares
Campamento de Verano 2017 Los Alcázares
 
Діти – квіти держав Європи
Діти – квіти держав ЄвропиДіти – квіти держав Європи
Діти – квіти держав Європи
 
Epv.prog.2016 17
Epv.prog.2016 17Epv.prog.2016 17
Epv.prog.2016 17
 
Geométrico DºTº I
Geométrico DºTº IGeométrico DºTº I
Geométrico DºTº I
 
Ingeniería de transito
Ingeniería de transitoIngeniería de transito
Ingeniería de transito
 
Drenaje longitudinal
Drenaje longitudinalDrenaje longitudinal
Drenaje longitudinal
 
Actividad 1. Reflexiones
Actividad 1. ReflexionesActividad 1. Reflexiones
Actividad 1. Reflexiones
 
DRENAJES LONGITUDUNALES
DRENAJES LONGITUDUNALESDRENAJES LONGITUDUNALES
DRENAJES LONGITUDUNALES
 
Tipo de Subdrenaje
Tipo de SubdrenajeTipo de Subdrenaje
Tipo de Subdrenaje
 
Tipos de drenaje transversales y los criterios técnico de fabricación de cada...
Tipos de drenaje transversales y los criterios técnico de fabricación de cada...Tipos de drenaje transversales y los criterios técnico de fabricación de cada...
Tipos de drenaje transversales y los criterios técnico de fabricación de cada...
 
Willems escobar actividad 4
Willems escobar actividad 4Willems escobar actividad 4
Willems escobar actividad 4
 

Similar to Rtp 3000 rapid thermal processing equipment

AccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing EquipmentAccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing Equipment
Peter Chen
 
New Star Plasma Brochure
New Star Plasma BrochureNew Star Plasma Brochure
New Star Plasma Brochure
Paul Mutch
 
Pacific Brochure Products
Pacific Brochure ProductsPacific Brochure Products
Pacific Brochure Products
Jason Salsbury
 

Similar to Rtp 3000 rapid thermal processing equipment (20)

Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time  Rapid Thermal Anneal EquipmentAccuThermo AW 820 Long Time  Rapid Thermal Anneal Equipment
AccuThermo AW 820 Long Time Rapid Thermal Anneal Equipment
 
AccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal EquipmentAccuThermo AW 810 Rapid Thermal Anneal Equipment
AccuThermo AW 810 Rapid Thermal Anneal Equipment
 
New ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing systemNew ag associates heatpulse 210 rapid thermal annealing system
New ag associates heatpulse 210 rapid thermal annealing system
 
New ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing systemNew ag associates heatpulse 610 rapid thermal annealing system
New ag associates heatpulse 610 rapid thermal annealing system
 
AccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing EquipmentAccuThermo AW 610 Rapid Thermal Annealing Equipment
AccuThermo AW 610 Rapid Thermal Annealing Equipment
 
New ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing systemNew ag associates heatpulse 410 rapid thermal annealing system
New ag associates heatpulse 410 rapid thermal annealing system
 
Perkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
Perkin-Elmer 4400,4410,4450 Sputtering Deposition SystemsPerkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
Perkin-Elmer 4400,4410,4450 Sputtering Deposition Systems
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
AccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing EquipmentAccuThermo AW 410 Rapid Thermal Processing Equipment
AccuThermo AW 410 Rapid Thermal Processing Equipment
 
Fillunger All Product Booklet 2014
Fillunger All Product Booklet 2014Fillunger All Product Booklet 2014
Fillunger All Product Booklet 2014
 
New Star Plasma Brochure
New Star Plasma BrochureNew Star Plasma Brochure
New Star Plasma Brochure
 
Clarus GC/MS SQ 8 Spec Sheet
Clarus GC/MS SQ 8 Spec SheetClarus GC/MS SQ 8 Spec Sheet
Clarus GC/MS SQ 8 Spec Sheet
 
Thermon overview brochure for all Heat Tracing needs
Thermon overview brochure for all Heat Tracing needsThermon overview brochure for all Heat Tracing needs
Thermon overview brochure for all Heat Tracing needs
 
Plasma Transfered Arc Welding Machine ppt
Plasma Transfered Arc Welding  Machine ppt Plasma Transfered Arc Welding  Machine ppt
Plasma Transfered Arc Welding Machine ppt
 
Pacific Brochure Products
Pacific Brochure ProductsPacific Brochure Products
Pacific Brochure Products
 
Plasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eRPlasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eR
 
FineTek (Taiwan) Instrumentation Solutions for Cement Industry
FineTek (Taiwan) Instrumentation Solutions for Cement IndustryFineTek (Taiwan) Instrumentation Solutions for Cement Industry
FineTek (Taiwan) Instrumentation Solutions for Cement Industry
 
KEMET Webinar - Autonomous driving - 1st to market T598 125C with single digi...
KEMET Webinar - Autonomous driving - 1st to market T598 125C with single digi...KEMET Webinar - Autonomous driving - 1st to market T598 125C with single digi...
KEMET Webinar - Autonomous driving - 1st to market T598 125C with single digi...
 
Presentazione completa 2012
Presentazione   completa 2012 Presentazione   completa 2012
Presentazione completa 2012
 

More from Emily Tan

More from Emily Tan (20)

20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 

Recently uploaded

GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
Lokesh Kothari
 
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 bAsymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
Sérgio Sacani
 
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
ssuser79fe74
 
Pests of mustard_Identification_Management_Dr.UPR.pdf
Pests of mustard_Identification_Management_Dr.UPR.pdfPests of mustard_Identification_Management_Dr.UPR.pdf
Pests of mustard_Identification_Management_Dr.UPR.pdf
PirithiRaju
 
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptxSCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
RizalinePalanog2
 
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune WaterworldsBiogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
Sérgio Sacani
 
Seismic Method Estimate velocity from seismic data.pptx
Seismic Method Estimate velocity from seismic  data.pptxSeismic Method Estimate velocity from seismic  data.pptx
Seismic Method Estimate velocity from seismic data.pptx
AlMamun560346
 

Recently uploaded (20)

GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
GUIDELINES ON SIMILAR BIOLOGICS Regulatory Requirements for Marketing Authori...
 
Nanoparticles synthesis and characterization​ ​
Nanoparticles synthesis and characterization​  ​Nanoparticles synthesis and characterization​  ​
Nanoparticles synthesis and characterization​ ​
 
Hire 💕 9907093804 Hooghly Call Girls Service Call Girls Agency
Hire 💕 9907093804 Hooghly Call Girls Service Call Girls AgencyHire 💕 9907093804 Hooghly Call Girls Service Call Girls Agency
Hire 💕 9907093804 Hooghly Call Girls Service Call Girls Agency
 
Feature-aligned N-BEATS with Sinkhorn divergence (ICLR '24)
Feature-aligned N-BEATS with Sinkhorn divergence (ICLR '24)Feature-aligned N-BEATS with Sinkhorn divergence (ICLR '24)
Feature-aligned N-BEATS with Sinkhorn divergence (ICLR '24)
 
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 bAsymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
Asymmetry in the atmosphere of the ultra-hot Jupiter WASP-76 b
 
CELL -Structural and Functional unit of life.pdf
CELL -Structural and Functional unit of life.pdfCELL -Structural and Functional unit of life.pdf
CELL -Structural and Functional unit of life.pdf
 
Vip profile Call Girls In Lonavala 9748763073 For Genuine Sex Service At Just...
Vip profile Call Girls In Lonavala 9748763073 For Genuine Sex Service At Just...Vip profile Call Girls In Lonavala 9748763073 For Genuine Sex Service At Just...
Vip profile Call Girls In Lonavala 9748763073 For Genuine Sex Service At Just...
 
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
Chemical Tests; flame test, positive and negative ions test Edexcel Internati...
 
Nightside clouds and disequilibrium chemistry on the hot Jupiter WASP-43b
Nightside clouds and disequilibrium chemistry on the hot Jupiter WASP-43bNightside clouds and disequilibrium chemistry on the hot Jupiter WASP-43b
Nightside clouds and disequilibrium chemistry on the hot Jupiter WASP-43b
 
9999266834 Call Girls In Noida Sector 22 (Delhi) Call Girl Service
9999266834 Call Girls In Noida Sector 22 (Delhi) Call Girl Service9999266834 Call Girls In Noida Sector 22 (Delhi) Call Girl Service
9999266834 Call Girls In Noida Sector 22 (Delhi) Call Girl Service
 
Forensic Biology & Its biological significance.pdf
Forensic Biology & Its biological significance.pdfForensic Biology & Its biological significance.pdf
Forensic Biology & Its biological significance.pdf
 
Factory Acceptance Test( FAT).pptx .
Factory Acceptance Test( FAT).pptx       .Factory Acceptance Test( FAT).pptx       .
Factory Acceptance Test( FAT).pptx .
 
Pests of mustard_Identification_Management_Dr.UPR.pdf
Pests of mustard_Identification_Management_Dr.UPR.pdfPests of mustard_Identification_Management_Dr.UPR.pdf
Pests of mustard_Identification_Management_Dr.UPR.pdf
 
Clean In Place(CIP).pptx .
Clean In Place(CIP).pptx                 .Clean In Place(CIP).pptx                 .
Clean In Place(CIP).pptx .
 
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptxSCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
SCIENCE-4-QUARTER4-WEEK-4-PPT-1 (1).pptx
 
❤Jammu Kashmir Call Girls 8617697112 Personal Whatsapp Number 💦✅.
❤Jammu Kashmir Call Girls 8617697112 Personal Whatsapp Number 💦✅.❤Jammu Kashmir Call Girls 8617697112 Personal Whatsapp Number 💦✅.
❤Jammu Kashmir Call Girls 8617697112 Personal Whatsapp Number 💦✅.
 
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune WaterworldsBiogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
Biogenic Sulfur Gases as Biosignatures on Temperate Sub-Neptune Waterworlds
 
Botany 4th semester file By Sumit Kumar yadav.pdf
Botany 4th semester file By Sumit Kumar yadav.pdfBotany 4th semester file By Sumit Kumar yadav.pdf
Botany 4th semester file By Sumit Kumar yadav.pdf
 
FAIRSpectra - Enabling the FAIRification of Spectroscopy and Spectrometry
FAIRSpectra - Enabling the FAIRification of Spectroscopy and SpectrometryFAIRSpectra - Enabling the FAIRification of Spectroscopy and Spectrometry
FAIRSpectra - Enabling the FAIRification of Spectroscopy and Spectrometry
 
Seismic Method Estimate velocity from seismic data.pptx
Seismic Method Estimate velocity from seismic  data.pptxSeismic Method Estimate velocity from seismic  data.pptx
Seismic Method Estimate velocity from seismic data.pptx
 

Rtp 3000 rapid thermal processing equipment

  • 1. 1SemiStar Corp. www.semistarcorp.com SemiStar Corp. 380 Tennant Ave., Suite 5. Morgan Hill, CA95037 Tel (408)612-1209 Email: sales@semistarcorp.com Product Description An Advanced Rapid Thermal Processing System with Multi-Gas Capabilities The RTP-3000 is a fully automated production system. The system with a 200mm chamber is capable of up to 6″ Compound Semiconductor, or with a 300mm chamber up to 12″ Silicon wafer processing. PROCESSES • Contact alloying • Implant activation • Silicide formation • Nitridation of metals • Oxidation • Glass reflow
  • 2. 2SemiStar Corp. www.semistarcorp.com SemiStar Corp. 380 Tennant Ave., Suite 5. Morgan Hill, CA95037 Tel (408)612-1209 Email: sales@semistarcorp.com FEATURES • Dual-Arm Robot Transport • Dual Cassette Platform • Quartz Processing Chamber • Quartz Wafer Processing Tray • Four-layer Tungsten Halogen Lamp Array Heating • Zone Control with unique lamp arrangement ensures highly uniform heating of up to 12″ Silicon Wafers (300mm chamber) or 8″ Silicon Wafers / 6” GaAs Wafers with 8″ O.D. Susceptor (200mm chamber) • Extended Range Pyrometer Plus (ERP+) internally cooled pyrometer for extended temperature range from 350 – 1250 Degrees C • Electro polished stainless steel (316L) gas plumbing with VCR Connectors • MFC controlled gas lines, up to ten (10) gas lines available. TEMPERATURE CONTROL The RTP-3000 System features a loop temperature control algorithim with a temperature control stability of +/- 2 deg. C from set point. This feature greatly simplifies programming complex multi-step cycles, since no “tweaking” of system variables is required. The Lamp Calibration feature allows user-optimization of heating uniformity. Software diagnostics are provided to monitor each lamp and compensate for lamp aging effects. TEMPERATURE MEASUREMENT
  • 3. 3SemiStar Corp. www.semistarcorp.com SemiStar Corp. 380 Tennant Ave., Suite 5. Morgan Hill, CA95037 Tel (408)612-1209 Email: sales@semistarcorp.com The temperature measurement techniques available for the RTP-3000 system are thermocouple, pyrometer. The type-K thermocouple is useful for low-temperature processing and calibrating the pyrometer. The Extended Range Pyrometer Plus (ERP+) internally cooled pyrometer can be used to measure wafer temperatures in the range of 350-1250 deg. C. (Actual range depends upon process, wafer type and system configuration.) SOFTWARE An integrated software package has been developed to control the RTP-3000 System. The software modules interactively serve to integrate the various functions and operations of this system. Software features allow convenient recipe creation and editing. Process data may be collected during a run cycle and stored in a data file for later display and analysis. The process and recipe data files can be internally stored as DIF (Data Interchange Format) which can be imported into popular software packages such as Lotus 1-2-3, as a worksheet for display, plotting and further analysis. RTP-3000 Software Features • Real-Time Process Control • Real-Time Graphics Display • Real-Time Process Data Collection • Recipe Editor • Recipe Validation • Process Data File Management
  • 4. 4SemiStar Corp. www.semistarcorp.com SemiStar Corp. 380 Tennant Ave., Suite 5. Morgan Hill, CA95037 Tel (408)612-1209 Email: sales@semistarcorp.com • Process Data File Display and Analysis • System Diagnostics • System Configuration Utilities The valid time is subject to prior sale without notice. Plasma Etcher, Pl ease Etchi ng, Dr y Etching, Dr yClean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equipment, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rai nbow 4400, Lam R ainbow 4420, Lam R ainbow 4428, Lam R ainbow 4500, Lam Rai nbow 4520, Lam R ainbow 4528, Lam Rai nbow 4600, Lam R ainbow 4620, Lam R ai nbow 4628, Lam Rai nbow 4700, Lam R ainbow 4720, Lam Rai nbow 4728, Plasma Asher, Pl asma Descum, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Matrix 105, M atri x 205, Matrix 303, M atri x 403,M atri x 106,Matrix 104, M atri x 102,M atri x 101, Matri x 10, System One Stripper, Model 105, System One Etcher , model 303, model 403 Plasma Asher, Pl asma Descum, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Br anson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher Plasma Asher, Pl asma Descum, Dr yCl ean, D ownstr eam Asher,Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equi pment, Gasonics Aura 1000, Gasonics Aur a 2000, Gasonics Aur a 3000, Gasonics L3510, Gasonics Aur a 3010 Plasma Etcher, Pl ease Etchi ng, Dr y Etching, Dr yClean, Semiconductor Equi pment, Used Semiconductor Equi pment, Semiconductor Pr ocess Equipment, Teg al 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Teg al 703,Tegal 801,Tegal 803,Teg al 981e,Teg al 903e Plasma Etcher, Pl asma Etching, Dr y Etchi ng, Dr yCl ean, Semiconductor Equi pment, Used Semiconductor Eq uipment, Semiconductor Process Equi pment, Gasonics AE 2001, Microwave Etcher, Micr owave Pl asma Etcher, Micr owave Etch, Downstream Pl asma Etch Rapi d Ther mal Process, Rapid Ther mal Pr ocessing, R apid Ther mal Anneal, R api d Ther mal Annealing, Rapid Ther mal Oxi dation, R apid Ther mal Ni tride, RTA, RTP, RTO, RTN,, Semiconductor Eq uipment, Used Semiconductor Eq uipment, Semiconductor Process Eq uipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associ ates, Heatpulse 210, H eatpulse 410, Mi nipulse 310, H eatpulse 610, Heatpul se 610I, AG Heatpulse 410, AG H eatpulse 610, AG H eatpulse 210, AG Mini pulse 310, Atmospheric R apid Ther mal Process, Vacuum R api d Ther mal Process, Furnace, Oven, Ther mal Fur nace, Ther mal Pr ocess, Ther mal Processi ng,JIPELEC, ag2146,JetClip,JetStar, AST SH S2000, AST STEAG 2800, ssintegration, R apid Ther mal Oxi de,JetFirst ,M attson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG- MATTSON 2800, heat pulse, Sol aris, Eclipse ,modular pro, RLA-1000, AG H eatpulse, rapid ther mal pr ocessor, Steag AST SHS2000, Solaris 75, Sol aris75,STEAG El ectr onic Systems ,eng-sol, Annealsys, R LA- 3000, Engi neering Sol uti ons ,Sol aris 150, R apid Thermal Anneal er , AS-Master ,modul arpr o,RTO ,M odular Pr ocess Technol ogy, Sol aris150,AS-One,AS-Micro, Mgage 200, Mg age 300, M-gage 200, M-gage 300,Sheet Resistant measurement, M etrol og y, Tencor M-Gag e 300,Tencor M-Gag e 200, sheet r esistance, sheet r esistance Measurement, Semiconductor Equipment, Semiconductor metr olog y Equi pment, KLA-Tencor, Tencor Per ki n-El mer 4400, Per kin- Elmer 4410, Per ki n-El mer 4450,Per kin-El mer 4480, Per ki n-El mer 2400, Per kin El mer 4400, Per ki n El mer 4410, Per ki n Elmer 4450,Per ki n El mer 4480, Per ki n Elmer 2400, Sputter, Mag netron Sputter, Di ode Sputter, DC Sputter , RF Sputter, DC M agnetron Sputter , RF M agnetron Sputter, C o-sputter, R eacti ve Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dr y Clean, Bias Functi on, C athode, Load l ock, Degas, Semiconductor Equipment, Used Semiconductor Equi pment, Semiconductor Process Equi pment,, Thi n Fil m, M etal Thin Film, Thin Fil m D eposi tion, PVD, Physical Vapor D epositi on