SlideShare a Scribd company logo
1 of 30
Download to read offline
5791 Pearl Kogyo System Computer
5792 Pegasus 2000 DSP Dual sided prober
5793 Penta Technology Model: PTP‐7V ‐ Parylene Coating System w/ Pump & Power Supply
5794 Perkin Elmer 16‐025 Heat Exchanger, Deionizer, 451852
5795 PERKIN ELMER 2400 SPUTTERING SYSTEM
5796 Perkin Elmer 2400. Looking for a swift sale, please make offer, motivated
5797 Perkin Elmer 2400‐8L. Looking for a swift sale, please make offer, motivated
5798 Perkin Elmer 4400 Sputtering System
5799 Perkin Elmer 4400, 3 target DC or RF magnetron sputtering system
5800 Perkin Elmer Lambda 14 UV/VIS Spectrometer
5801 Perkin Elmer PHI 670xi Scanning Auger Electron Microscope Nanoprobe System
5802 Perkin Elmer S2340‐0003‐2 Prealignment Stage
5803 PERKIN‐ELMER 2400 SPUTTERING SYSTEM
5804 Perkin‐elmer phi 15‐630 precision uhv vacuum 5 axis sample stage ‐ model 670
5805 Persys Technology External Torch PET‐986 Assy w/Torch Controller PIB‐987
5806 Peter Walters Company AC 1200 Double Sided Lapper. Looking for swift sale.
5807 Pfeiffer 109240‐A Leak Detector Vacuum Pump, Alcatel, 452375
5808 Pfeiffer ATH‐1300MT Turbo Pump, New by Provac Sales, Inc.
5809 Pfeiffer Balzers Turbopump Assembly, TPU‐062, QMA 430, TL 011, IKR02, 452693
5810 Pfeiffer HiPace 300P Turbo Pump with TC400‐E74, Rebuilt by Provac Sales, Inc.
5811 Pfeiffer HiPace 300P Vacuum Pump
5812 Pfeiffer PM C01 790 A HiPace 80 Turbomolecular Pump TC 110, PM P03 940 A, 452591
5813 PFEIFFER PM P02 469 A/ TURBO‐MOLECULAR PUMP W/ TCP 600 CONTROLLER AND CABLES
5814 Pfeiffer TMH 1601 PCHT Turbo Pump With DCU‐600 and Temp Controller with cables
5815 PFEIFFER TSH 071E /ECONOMY DRY VACUUM PUMPING STATION/ PFEIFFER
5816 Pfeiffer Turbo Molecular Pump HiPace 1200U with TC 1200 with warranty
5817 PFEIFFER Vacuum HiPace 1500 Turbo Pump DN 250 ISO‐F Flange w/ TC1200 PB + Valves
5818 Pfeiffer Vacuum TMU‐071P With TC‐600  Condition
5819 Pfeiffer Vacuum TPU 2200 U P C Turbo Pump with 14 day warranty
5820 Phase‐A‐Matic 15 HP CNC PAC‐15 Rotary Phase Converter / Voltage Stabilizer
5821 Phase‐A‐Matic 20 HP CNC PAC‐20 Rotary Phase Converter / Voltage Stabilizer
5822 Phase‐A‐Matic 25 HP CNC PAC‐25 Rotary Phase Converter / Voltage Stabilizer
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 181 sales@semistarcorp.com
5823 Phase‐A‐Matic 30 HP CNC PAC‐30 Rotary Phase Converter / Voltage Stabilizer
5824 Phase‐A‐Matic 30 HP CNC PAC‐30 Rotary Phase Converter / Voltage Stabilizer
5825 PHI Lamination press 600 Ton
5826 PHI Perkin Elmer Quantum 2000 ESCA Microprobe ***FINANCING*** xray sem Auger SEM
5827 Philips 9469‐70 PCB Solder Reflow Oven
5828 Philips Assembleon PA269904 LCS Shuttle Matrix Tray Feeder Changer
5829 Philips EPC8‐66‐2‐128 61‐0296‐36 i486‐based VMEbus CPU Board | for PG 1220 Rack
5830 Philips FEI XL40 ESEM Power Supply 4022 296 00004 4022 296 00013 4022 296 00053
5831 Philips Impulse 300 Opto‐Acoustic Film Thickness Mask & Wafer Inspection System
5832 Philips PD7100/00 PLM‐100 Photoluminescence Mapping Tool w/ Full 4 Mo Warranty
5833 Philips PLM‐100 Photoluminescence Mapping System / 532nm Doubled Yag /4 Mo.Wrty
5834 Phoenix analyzer x‐ray 120kva 300 watt high power ‐ castings / molds
5835 Photon Dynamics Flat Panel Inspection System FIS‐250
5836 Photonics Polychrome 2 Illumination Unit MG04 & Control Unit SA3
5837 Pick and Place Machine ‐ PCBA Assembly ‐ with feeders ‐ SMTMax QM3000
5838 Pick and Place Machine QM2000
5839 Pick and Place Machine QM2000
5840 Pick&Place Surface mount Laser Chip, Array, Detector and Epoxy Dispenser Machine
5841 PILine Controller C‐867.260, 110044706
5842 Pillarhouse Cadet Automatic Soldering 4 Pos. Rotary Solder Pot w Fluxing #A18B
5843 Plasma Cleaning, Plasma treatment system, plasma asher, plasma system
5844 Plasma Etch BT‐1 System Etcher Wafer Die Matrx Semi BT1 Cleaner Tester
5845 Plasma Etch Chamber for 24" Gen 2 glass
5846 Plasma Etch PE‐100 Series Plasma Etch; Compressor Included
5847 Plasma Etch PE‐25 Plasma Etcher, Plasma Etch, Plasma Cleaner, BRAND NEW, Made in USA
5848 Plasma Etch PE‐40HBH‐S016 / HIGH TEMPERATURE BELLOWS PUMP / NIPPON PILL
5849 Plasma Etch Wand Hand Held Atmospheric Plasma Cleaner Plasma Etcher
5850 Plasma Etcher, Plasma etching system, March plasma system, March CS‐170, RIE
5851 Plasma Science Plasma Surface Treatment System  PS‐500 AST Products
5852 PLASMA SCIENCES R.I.E. 200W
5853 Plasma Therm HFS‐3000D RF Generator Cabinet Included
5854 Plasma Therm RIE Etching System
5855 Plasma Therm Versaline RIE‐ Reactive Ion Etching System
5856 PLASMALAB uETCH ETCHING SYSTEM
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 182 sales@semistarcorp.com
5857 PlasmaQuest Process Etcher Chamber Series III
5858 Plasmatherm | 790 Lower Chamber
5859 Plasma‐Therm 2486 Reactive Ion Etcher
5860 Plasma‐Therm 386 Comuter System Controller #TQ276
5861 PLASMATHERM 700 RIE SYSTEM Reactive Ion Etcher
5862 Plasmatherm 790 MF Plasma RIE Reactive Ion Etch System5
5863 Plasma‐Therm 790 PECVD
5864 PLASMATHERM 790 RIE
5865 Plasmatherm 8.375" Diameter Complete ICP Electrode Assembly
5866 Plasma‐Therm Etch Chamber Unmarked 10.375" I.D. Working Spare
5867 Plasmatherm PECVD PT530 System
5868 PlasmaTherm PK‐2460 PE/RIE Reactive Ion Etcher System ‐ previously refurbished
5869 Plasmatherm Process Sequencer ‐ Z80 (DOS) computer
5870 PlasmaTherm RIE Computer and Software Retrofit / Upgrade (single chamber)
5871 PlasmaTherm RIE Computer and Software Retrofit for systems with Load Arm
5872 Plasmatherm RIE Etching System
5873 Plasmatherm SLR 720 RIE Etching System
5874 Plasma‐Therm SLR Series 770 ICP Dry Plasma Etcher for 3‐6" Wafers
5875 Plasmatherm SLR‐770 ICP Shuttle Lock ICP Inductively Coupled Plasma Etch9
5876 Plasmatherm Versaline VLR PECVD Deposition System
5877 Plasmatherm VLR ICP Module Endpoint Computer with cables
5878 Plasmatherm VLR ME II system, Power unit
5879 Plasma‐Therm Wafr/ Dep Wafer 2411 Cabinet
5880 PLASMOS SD‐2000‐LC 8"/200 mm Film Thickness Automatic Ellipsometer (Metrology)
5881 Plasmos SD‐4000 Ellipsometer
5882 Plating Rectifier
5883 Polycold Systems PFC 1100 HC Cryogenic Refrigeration Unit ‐ Tested
5884 Poly‐Flow S310 SVG Semiconductor Quartzware Wet Cleaner Air Products Versum
5885 Polytool Kobay SAWIN 300D Dicing Tool
5886 Portable Clean Room
5887 Portable Softwall Cleanroom 8.5'x 4.5'x 6.5' with HEPA Filter FFU Clear Curtains
5888 PORTABLE STAINLESS CLN ROOM TERRA UNIVERSAL EXC COND HEPA NEEDS BATTERY ST STL
5889 PORTER INSTRUMENT CO. MODEL # 270019800 TEOS / TMB / TMP CONTROLLER
5890 POSITIVE PRESSURE VACUUM FURNACE WITH DIFFUSION AND VACUUM PUMP 12" X15" ID CAP
5891 Power Plasma NPK‐200 NPG‐15KM RF Generator, 400kHz ()
5892 Power Plasma NPRPC‐100G‐01 NPG‐10KM RF Generator Rack ()
5893 PRATT & WHITNEY WOLVERINE CLUB CNC MILL
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 183 sales@semistarcorp.com
5894 PRAXAIR AMMONIA & SILANE MONITORS FOR GAS CABINET
5895 praxair surepurge valve control gas cabinet box manifold VMB8 semi monitor
5896 Precision 3‐Axis Robot Work Cell 17"x15"x3.5" Travel CNC Cell PVA Galil THK
5897 Precision Conformal Coater Coating Machine Valve&Automation PVA Works! Galil THK
5898 PRECISION ELECTRON ENERGY ANLAYZER PHI 15‐255G AND MUCH MORE
5899 PRECISIONFLOW TECHNOLOGIES 06‐01706 NANOPURGE GAS CABINET OXYGEN & ARGON GASES
5900 PRECISIONFLOW TECHNOLOGIES 06‐01707 NANOPURGE GAS CABINET 1 PANEL FORMING GAS
5901 Preco Cold Web Laminator/Laminating & Rewind Converting Module Machine 21"
5902 PRECO MODEL MTS 3024 AUTOMATIC ROLL TO ROLL LARGE AREA WEB SCREEN PRINTER
5903 Preco Web Lamination NIP Roll 24" w/ Gearmotor ValueTrue 6 Kollmorgen VT006‐004
5904 PRI 4000‐0016 A Atmospheric Single Arm Robot **
5905 Prior Scientific H129V4 ProScan Stage Controller Microscope XY Stage
5906 Prior Scientific H129V4 ProScan Stage Controller, Microscope, XY Stage
5907 Pro Fab LCTS04 Controller MT4000‐100018
5908 Probelogic 30‐10700 Wafer Cantilever Probe Card S25PA REV4 New Surplus
5909 Probing Solutions 400 series 150mm manual prober
5910 Probing Solutions Inc. PSI 342‐PMI PHOTOMASK INSPECTION/REVIEW STATION
5911 Process Technology HCT 1084‐S‐G‐X Electric Instantaneous DI Water Heater
5912 Process Technology Tytan Water Heater ‐ 24kW ‐ 480Vac / 29A / 3‐phase
5913 Producer 0200‐39289(1) 0200‐39361 (3) Isolator TEOS pumping ring 200mm
5914 PRODUCER SE CHAMBER W//O TURBO PUMP / APPLIED MATERIALS AMAT
5915 Producer SE Full Robot Arm Set
5916 PRO‐FACE 2880037 / GRAPHIC PANEL MODEL INCL 2880048 MODULE
5917 Profilometer 3D Surface Profiler ‐ Profilometer ‐ Roughness Meter 
5918 PROMATION TABLETOP G SERIES SOLDERING ROBOT (#2906)
5919 PROMETRIX TENCOR UV1050 TEAL ELECTRONICS POWER SUPPLY CONDITIONER 7AQ100R MTE
5920 Prometrix/KLA/Tencor LithoMap LM 25 Lithography Characterization System
5921 proton energy systems hogen hydrogen generator PESO1088G
5922 Pryor Pryormark 35 Floor Standing Roll Marking Machine
5923 PSI Sigma Probe Station w/ Leica Stereo Zoom 5 Microscope, Illuminator
5924 PSK 2KW / 2KW PSK,MATCHING BOX, AUTOMATCH 4002‐099‐0927 / PSK INC / ODYSSEY
5925 PUREX 8000/3000FC Part # 033011 Reflow fume extractor 2100 CFM
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 184 sales@semistarcorp.com
5926 Pusher Furnace
5927 PV Wafer ID Laser Scriber / Reader System ‐ IPESCAN005/IRIV03V00
5928 PVA 750 Conformal Coater Dispenser
5929 PVA Precision Valve 2400 2010 Inline Conformal Coater 4 axis coating machine
5930 PVA Tepla 300 Autoload PC Microwave Plasma
5931 PVA Tepla 300 Autoload PC Microwave Plasma Asher
5932 PVA Tepla Branson M4L Plasma Cleaning & Etching System
5933 PVA TePla Plasma Chamber M4L
5934 PVA TePla Plasma Pen Atmospheric High Density Plasma Cleaning / Etching System
5935 PVA TePla PlasmaPen V2.2US Atmospheric Plasma System PN: 300999
5936 PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe II, 20, Controller
5937 PWS P5MS, Pacific Western Systems Wafer Prober, Probe Controller II, 20, 
5938 Q Corporation PCB Heavy Duty Tape and Real QMT Pro 1250 Accutape 
5939 QC Optics D7000, Platter Inspection System, ULWD50, ULWD20, IC10, IC5
5940 Qcept 4206‐002‐01 200‐300mm Wafer Inspection System ChemetriQ‐3000 Used Untested
5941 QI Queensgate Instruments NS2300D Controller 4S288‐213‐1 NSR 
5942 Q‐SUN XENON TEST CHAMBER XE‐3‐H
5943 Quad 4c 4000c pick and place PPM WINDOWS 7 UPGRADE
5944 Quad IVC Pick and Place Assembler
5945 Quad Speedline/Smtech AVX500 Screen Printer
5946 QUALMARK MODEL OVS‐2.5 ENVIRONMENTAL TEST CHAMBER
5947 QUANTUM R‐TC20 / MARKES TC‐20 MULTI TUBE CONDITIONER AND DRY PURGE UNIT / QUANTUM
5948 QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished
5949 QUARTZ FINS/KUMKANG QUARTZ CO LTD 2105‐322957‐51 (79088HERAEUS)
5950 Quartz International 03MRK001 Semitool Quartz Element Tube New Surplus
5951 Queensgate Instruments NS2601/B Controller PCB Card Nikon NSR‐S620D 
5952 Queensgate NS2300/A Position Sensor 4S587‐005 NSR‐S205C System 
5953 Queensgate NS2300/A Position Sensor Unit Nikon 4S587‐005 NSR‐S307E DUV Used
5954 Queensgate NS2300/D Position Sensor Unit Nikon 4S288‐213 NSR‐S205C Working Spare
5955 Queensgate NS2300/D Position Sensor Unit Nikon 4S288‐213‐1 NSR‐S307E DUV Used
5956 Queensgate NS2303/A Position Sensor Unit Nikon 4S288‐271 NSR‐S307E DUV Used
5957 Quick Circuit 5000 PCB Milling Bundle *REDUCED PRICE*
5958 Quick Circuit 9000 PCB Prototyping Milling Machine T‐Tech
5959 Quintel Mask Aligner 86D06
5960 Quorum Emitech K550 Automatic Sputter Coater and Sputtering System
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 185 sales@semistarcorp.com
5961 QUORUM EMITECH K550 FULLY AUTOMATIC SPUTTER COATER SPUTTERING SYSTEM
5962 R&D Technical Services RD‐3 Vapor Phase Machine
5963 R&D Technical Services RD‐3‐S Vapor Phase Machine
5964 R&D Technical vapor phase reflow soldering oven RD 2
5965 R. HOWARD STRASBAUGH 6EC
5966 R. Howard Strasbaugh 6SR4 Wafer Grinding Dual Face Lapping Machine Polisher
5967 R. Howard Strasbaugh 6UR‐6 Polishing Machine
5968 Radiant Technology (RTC) M‐100 TableTop Reflow Infrared 4.5” Belt Furnace / Wrty
5969 RADIANT TECHNOLOGY RTC MODEL CU‐910X IR BELT FURNACE 1000C MAX TEMP
5970 Rainbow Technology Systems Panda Coater Phototool Coating & UV Curing Machine
5971 Randcastle Extrusion Systems RC‐025 Benchtop Single Screw Extruder
5972 Rasco TBW‐CD‐71 Compact Chamber * Semi Tool TBWCD71 
5973 RD Automation M‐9A Flip Chip Die Bonder M9 
5974 RECIF INC BPP8 / ASSEMBLY,BATCH TRANSFER REPLCMNT,RECIF / RECIF INC
5975 Recif SA ECUA00036 Wafer Sorter/Handler Computer/Controller Robot Controller
5976 RECIF Technologies SPPA40A40007 Wafer Handler Assembly Robot Arm assembly
5977 Recif VMT8 200mm 8" Vertical Mixed Wafer Transfer Sorter/Handler/Mapper
5978 REFLOW OVEN 6 zones 
5979 Regenerative Desiccant air dryer/ Blower Purge
5980 Rehm VXS 3150 Type 634 Nitrogen Reflow Oven
5981 Reichert Jung PolyLite Z Stand Inspection Microscope
5982 Reichert‐Jung Ultrastar Ty: 300602 Inspection Microscope
5983 REsys Inc DI deionized water system recirculating recycler SMT PCB spotless wash
5984 REsys Titan 5 Reverse Osmosis Deionized Water System 10 GPM & Recycler
5985 REsys Ultra‐Loop PCB Water Recycling System with Sump Pump
5986 Reynolds Tech 3 ft. Stainless Steel Solvent Bench
5987 RF PLASMA PRODUCTS RF‐10 SF028 / RF‐10 POWER RF 208 VAC 15A 1000W 13.56
5988 RF PLASMA PRODUCTS RF25M RF Power Products 660‐093818‐002 Power Supply 3150048‐000 
5989 RF Plasma Products, Inc. HFS 10,000D RFPP RF Plasma Generator 10KW 13.56Hz
5990 RF Power Products 7622729010 25000W RF Impedance Matching Network
5991 RF Services Inc RFS‐3011 RF Match, 232770‐01, LAM 553‐16430‐00
5992 Rhetech / Semitool Photo Resist Removal System
5993 RHK ultra high vacuum STM AFM SPM UHV atomic force scanning tunneling microscope
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 186 sales@semistarcorp.com
5994 RICOR 737A131A‐SPEC / PUMP W/K535 PS PN235C001A W/K535 CONTROLLER 235C102A / RICOR
5995 RICOR CRYO 737A505A / PUMP & HEATER LV VSEA UES INPUT 52+0.5 VDC 240W 45HZ / RICOR CRYO
5996 RIETSCHLE VLT 10 Process Vacuum
5997 Rigaku 422582
5998 RIGAKU DSMJ3‐057110‐A / MAGNETIC FUILD SEAL UNIT / RIGAKU
5999 Rigaku Thermo Plus Evo DCS8230
6000 Rigaku X‐RAY Machine HASKRIS Chiller Polaroid Land Adaptors
6001 Riken Keiki OX‐571A Oxygen Analyzer Reseller Lot of 38 
6002 Risshi uEX‐2004 Chiller AS IS EX‐2004
6003 RKC INSTRUMENT INC LPD‐BOX (PHASE2) / LPD#2 OVERHEAT DETECTION
6004 ROBOTIC PROCESS Solder SYSTEMS 202 TL SOLDERABILITY TESTER meets MIL‐STD‐202
6005 Rofin Coherent MPS 3 Axis Laser Welder Starpulse Sp150 Under Power Low Hours
6006 Rofin Sinar Dilas DL015 1.5kw direct diode laser head weld, harden, braze, fiber
6007 ROFIN‐BAASEL, INC 0125‐0510 / CONTROLLER,6100 ROBOT,LASERSCRIBE / ROFIN‐BAASEL, INC
6008 ROFIN‐BAASEL, INC 101101408 / DIODE STACK, 320W, CPLT WITH FITTINGS/ ROFIN‐BAASEL, INC
6009 ROFIN‐BAASEL, INC. 0605‐30010 / POWER SUPPLY,BL‐292 / ROFIN‐BAASEL, INC.
6010 ROFIN‐SINAR 101115782 / POWER‐LINE 12E LASER MARKING RESONATOR MODULE / ROFIN‐SINAR
6011 RORZE 1032466 / CONTROLLER CONTROL BOX CURR‐0609‐2 / RORZE
6012 RORZE 3A‐FN4009210 / FINGER EFEM AUTO LOADER ROBOT 
6013 RORZE 8VRSC130‐001‐001 / RORZE ACE 300 MM FI /RORZE
6014 RORZE RR700 / RORZE ROBOT, 1032465 / RORZE
6015 Rorze RR700L wafer handling robot
6016 RORZE RR701L0914‐204‐204‐1 DUAL ARM WAFER TRANSFER ROBOT
6017 Rorze RR711L1521‐3A3‐E11‐1 Dual Arm Robot
6018 Rorze RR711L1521‐3A3‐E11‐1 Robot Curr‐2241‐2 Controller (new surplus)
6019 RORZE RR713L1521‐3A3‐E11‐0 / DUAL ARM ROBOT/ RORZE
6020 RORZE RR732L DUAL BLADE MULTIAXIAL INTERPOLATING ROBOT
6021 Rorze RR741L1511‐411‐120‐1 Wafer Robot
6022 Rorze RZ251‐001‐001 Mattson Robot Assembly Aspen Mattson Aspen (New Surplus)
6023 ROYCE 550 DIE SHEAR SYSTEM
6024 Royce 550 Pull Test System
6025 Royce 552 Universal Bond Test System Tester w/20 Kg Die Shear Module STM‐20Kg
6026 Royce Instruments MP300
6027 Royce Instruments System 550
6028 Royce Pull & Shear Tester, 3 modules included, with operator table & manual
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 187 sales@semistarcorp.com
6029 RTC CU910X Infrared Belt Furnace ‐ 1000degrees C MAX
6030 RTC M100 Reflow Belt Furnace
6031 RTC MODEL RF‐304 BELT FURNACE, 3 ZONE, 4" WIDE BELT
6032 RTC MODEL SMD‐206 REFLOW FURNACE
6033 RTC Radiant Technology Sigma VI EFC‐615 Enhanced Forced Convection Reflow Oven
6034 RTC Radiant Technology Sigma VI EFC‐615 Enhanced Forced Convection Reflow Oven
6035 RTC S910 Furnace 9 Zone
6036 RTC SMD‐206 Reflow Furnace
6037 Rucker & Kolls 683A Semi‐Automatic Wafer Prober. 6 in.
6038 RUCKER AND KOLLS 683 (RUCKER AND KOLLS 680A MICROZOOM)
6039 Rudolph / August NSX 90 Inspection System
6040 RUDOLPH A19873‐001/TRANSFORMER BOX OFT REV A/RUDOLPH
6041 Rudolph August NSX‐95 Wafer Die and Bump Automated Defect Inspection System 7250
6042 Rudolph Auto El III 2, 4A Ellipsometer/FTM AutoEl 3
6043 Rudolph AutoEl IV‐NIR3 Film Thickness Ellipsometer Auto‐El 4 FTM
6044 Rudolph F30 300mm Wafer Mounter & Defect Inspection System Lintec Daikin Working
6045 RUDOLPH MetaPulse MPII MPIIIa EOM P23441 and P23440 Pump Probe
6046 RUDOLPH P24480‐002 / COMPUTER DUAL PROCESSOR KONTRON / RUDOLPH
6047 Rudolph Research AutoEL SS291 Automatic Ellipsometer
6048
RUDOLPH RESEARCH CORP. A16044 / ASSY UV DATA ACQUISITION CARD / RUDOLPH RESEARCH 
CORP.
6049
RUDOLPH RESEARCH CORP. A16108‐C / ASSEMBLY MP MAIN DETECTOR BOARD / RUDOLPH 
RESEARCH CORP.
6050
RUDOLPH RESEARCH CORP. A16963 / ASSY MEASUREMENT PROCESSOR / RUDOLPH RESEARCH 
CORP.
6051 Rudolph Technologies AutoEL Automatic Ellipsometer Model 2.4A. SER 7415. VINTAGE
6052 RVSI VANGUARD VAi 6000 BALL PLACEMENT SYSTEM MODEL 6000 W/ ACUITY CM4000 CAMERA
6053 S&C Electric 4145‐9 Data Interface for 312kVA Bridge PCB 005‐145‐6 
6054 S&K Vapor Dryer
6055 SAFC Hitech Enabling Technology/ASM/Novellus ALD Ampoule/Bubbler
6056 Saint‐Gobain Norton 3413899R, 311504‐N, Furnace Insert, Silicon Carbide.
6057 SAKI (AOI) Automated Optical Inspection Machine.
6058 Samco UV‐1 UV Dry Cleaner 30A2527 UV Ozone Cleaner w/ Ozone Killer
6059 SAMSUNG AMERICA INC S‐2192 / ELECTRODE 14 RING 1610
6060 Samsung CP Feeders, 8x2mm, 8x4mm, 16mm, 24mm, 32mm, 44mm & 56mm Feeders
6061 Samsung CP40CV Pick and Place Machine
6062 Samsung CP40CV Pick and Place Machine High Speed SMT Placement, REFURBED machine
6063 Samsung Dip Fluxer Feeder
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 188 sales@semistarcorp.com
6064 Samsung Hanwha SM482 2014 Fine pitch pick and place, 0201 capable
6065 SAMSUNG INDUSTRIAL PC, VOYAGER PBP08P3400 INTEL CELERON 2GH L623A829, 512MB DDR
6066 SAMSUNG MODEL CP45FV ‐ NEO PICK AND PLACE MACHINE FOR SMT / PCB ASSEMBLY
6067 SAMSUNG MODEL CP45FV ‐ NEO PICK AND PLACE MACHINE FOR SMT / PCB ASSEMBLY
6068 samsung SWB700 Bonder
6069 SAMSUNG TECHWIN CP45FV NEO PICK‐N‐PLACE_S/N CM‐2231‐GA__FIRST COME FIRST SERVED~
6070 Sanki Technos CR‐712T‐A Wafer Transfer Clean Robot Hitachi Lot of 3 As‐Is
6071 Sanki Technos TR‐3108HR Wafer Transfer Robot + Horiba Jobin XYZ 300 Ellipsometer
6072 SANTA CLARA (SCP) Wet station
6073 SANTA CLARA (SCP) Wet station
6074 Sargent Welch Turbotorr Turbo Molecular Pump Cat 3106S
6075 Satis 150 Vacuum Deposition System
6076 SBS technologies Bit3 board kit 85853638 and 85851328 w/fiber optic cable
6077 SBS Technologies DCPMC64 CompactPCI Conduction‐Cooled Dual 64‐Bit PMC Carrier
6078 Schlumberger BLU 100 184 Automated BIB Burn‐in Board Loader & Unloader Sys 
6079 SCHLUMBERGER CREDENCE LTX TEST HEAD PCB 494000850 77911544 IS90EF2CO5421
6080 Schmid Conveyor Oven Model 4k14‐62C26‐4A, 4 Zone Digital Controllers (reflow?)
6081 SCHNEIDER & MARQUARD LTCC [low temp co‐fired ceramic] High Speed Punch
6082 Schneider & Marquard SK‐5705 Hole Punching Machine
6083 Schneider E‐Technik NHRR 10kw ‐10kv 1A switching electron beam power supply
6084 SCHUMACHER 1463‐0003E/F/D/A / MODEL: ATCS‐15 TLC / SCHUMACHER
6085 SCHUMACHER 1491‐1023 AUTOMATIC REFILL CONTROLLER
6086 Schumacher ChemGuard CG100 Cabinet formally used for TEB PT# 46554
6087 Schumacher ChemGuard CG100 Cabinet formally used for TEPO PT# 46554
6088 SCHUMACHER V MDOT 1483‐0401A Vapor Control System Model: VMDTEOS AVP
6089 SCHUMACHER V MDOT 1483‐6002A Vapor Control System Model: V‐MDOT H.F
6090 SCHUMACHER V MDOT 1483‐6004A Vapor Control System Model: V‐MDOT H.F
6091 SCHUMACHER VMDTEOS 1483‐2201E
6092 Sciencescope X‐SCOPE 1800 X‐Ray Inspection System
6093 SCIENTIFIC INSTRUMENT CO SHORT PATH THERMAL DESORPTION SAMPLING OVEN
6094 Scientific Sealing Technology PF2400 /SST PF2400 Vacuum Sealing Furnace
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 189 sales@semistarcorp.com
6095 Scientific Sealing Technology PF2400 /SST PF2400 Vacuum Sealing Furnace / wrty
6096 SCP 4' WET STATION
6097
SCREEN SPE USA LLC 5‐39‐06701/VACUUM PUMP (B730) NASH_ELMO 10004762 010/SCREEN SPE 
USA LLC
6098 SCREEN SPE USA LLC 6‐A6‐F0033 / SPINDLE UNIT MPC 10045718‐1 
6099 SCREEN SPE USA/DNS Electronics 5‐F1‐D2949‐01 WTR CHUCK
6100 SCREEN SPEUSALLC/ORION 5‐39‐15601/THERMOCONTROLLER POWER (MAIN) ETM832A‐DNF‐L‐G2/
6101 SCS INSRUMENTS IONOGRAPH 500M LP SPECIALTY COATING SYSTEM TESTER (#1742)
6102 SCS Precisioncoat Precision Lab Coater Dispenser
6103 SCT/CHA MARK 50 EBEAM SYSTEM WITH CRYO
6104 SDC 2000 HCL Automated 3 Gas cabinet dual scales
6105 SDI SPV Measuring Station 1010 from SemiConductor Diagnostics, Inc.
6106 SDL 82‐00048 Fiber Laser Industrial Laser Systems, power output: 9.0W cw, power
6107 SEC 4000 EUTECTIC BONDER
6108 SEC 4450 HOT‐SHOT HOT GAS BONDER
6109 Seica Firefly SC 002
6110 Seica S20 Flying Probe Tester
6111 SEICA S20 Flying probe tester, 4 top probe system working unit
6112 Seiko Epson A168076 Seiko Epson HM‐3500 High Speed IC Handler
6113 Seiko Epson A168076 Seiko Epson HM‐3500 High Speed IC Handler
6114 Seiko Seiki SCU‐1600 Turbo Pump Controller, Factory Rebuilt, by Provac Sales
6115 Seiko Seiki SCU‐H1000C Turbomolecular Pump Control Unit STP‐H1000C Turbo Used
6116 SEIKO SEIKI SCU‐H1301C1 / TURBO PUMP CONTROLLER, STP‐H1301C1 / SEIKO SEIKI
6117 Seiko Seiki SCU‐H600C1 Turbo Pump Controller, Factory Rebuilt, by Provac Sales
6118 Seiko Seiki STP ‐ H300C Turbo Pump
6119 SEIKO SEIKI STP‐600 Turbomolecular Pump
6120 SEIKO SEIKI STP‐A2203W1‐U / TURBO PUMP A2203 / SEIKO SEIKI
6121 SEIKO SEIKI STP‐H1301L1B / TURBO PUMP 1D85‐090320‐TM / SEIKO SEIKI
6122 Seiko Seiki STP‐H200C Turbo Pump Control Unit SCU Edwards Turbomolecular Vacuum
6123 Seiko Seiki STP‐iX455C Turbo Pump with Controller, Power Supply, & Display, New
6124 Seiko‐Epson NS‐ATC Manual IC BGA Chip Hot/Cold Temperature Handler ‐40 to +175°C
6125 SELA EM2 Sample Preparation System. S/N: 5EM0501, Volts 110, Amps 8 
6126 SELA/Camtek MC 500 Die/Wafer Microcleaver/Micro‐Cleaver Cleaving System MC500
6127 Selective Solder Machine SS‐600‐i1, New Selective Soldering System, Lead Free
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 190 sales@semistarcorp.com
6128 Semco ESC (Electrostactic chuck) ‐Plasmatherm VLR ICP system ‐ 6" diameter wafer
6129 Semi Group PECVD CVD 1000 MPB Plasma Chemical Vapor Deposition System 1000MPB
6130 Semicaps STM32 Sample Thickness Measurement
6131 Semiconductor Diagnostics SDI 210, 210E‐SPV, FAST, Wafer Measurement, 423274
6132 Semiconductor Diagnostics SPV Station 1010 Cybeq 6100 Robot EG&G 197
6133 Semiconductor Laser Flux and Organick Free Reflo/Soldering System
6134 semiconductor wafer chuck
6135 SEMICORE / MRC SEC‐643 SC643 RF Sputter Coater Sputtering System
6136 Semics Opus 2 Wafer Prober
6137 Semics Opus II Wafer Prober Station 12" Chuck
6138 SemiFab Remote Air Handling Module Handler RAM 1000 cfm Environmental Control
6139 SemiGas Gas Cabinet Replacement Panels ‐ Fully Reconditioned and Warrantied
6140 SemiLab Model WT‐85 Wafer LifeTime Scanner
6141 Semilab SCA‐2500 XP Surface Charge Analyzer ‐ damaged
6142 Semilab WT‐2000PVN Multifunction Wafer Mapping Tool5
6143 SEMITEST EPIMET 2 EPI LAYER RESISTIVITY TESTER TOOL 2000 VINTAGE
6144 Semitool (AMAT) Raider Lift‐Rotate Assembly with ECD
6145 Semitool / AMAT Raider Bulk Power Supply (Model #: 900C0337‐501)
6146 Semitool / STI / Sitek, Dual Stack SRD (Spin Rinse Dryer), with controllers
6147 Semitool | 16761‐505, Liquid Level Board 4T4S
6148 Semitool | 16761‐509, Liquid Level Board
6149 Semitool | 17410‐05, SST Motor, 1/2 HP, Brushless, 120VAC, 60in Leads
6150 Semitool | 200T0118‐01, Drive Head Assembly, 300mm
6151 Semitool | 73080‐01, Heater Immersion, 220V, 4.6kW
6152 Semitool | 810R0011‐01, 6in/150mm Teflon Clamshell, BAL 0‐25
6153 Semitool | 810R0011‐01, 6in/150mm Teflon Clamshell, BAL 20‐25
6154 Semitool | LT02182, 3‐Axis Controller
6155 SEMITOOL 120U0039‐01 / DOOR PLUG SAT CPC (STI04 NOE) / SEMITOOL
6156 SEMITOOL 120U0040‐01 / DOOR PLUG SAT SRD (STI04 NOE) / SEMITOOL
6157 SEMITOOL 270 SRD WITH 6" ROTOR
6158 Semitool 440S SRD / Spin Rinser Dryer/ R&D Systems / Full Warranty
6159 Semitool 470S spin rinse dryer
6160 Semitool 470S‐5‐1‐E‐ML SRD Spin Rinse Dryer
6161 Semitool 480S SRD / Spin Rinse Dryer /Refurbished /4 mo wrty / 200mm wafers/Mint
6162 SEMITOOL 900C0336‐501 / PLATING POWER SUPPLY 4 ANODE CONFIGURATION RAIDER / SEMITOOL
6163 SEMITOOL 900C0337‐501 / RAIDER BULK POWER SUPPLY CFD4 REV 2 / SEMITOOL
6164 SEMITOOL 900C0337‐501 SEMITOOL BULK POWER SUPPLY CFD4 REV‐2
6165 SEMITOOL 900T0162‐501 / LT TANK CONTROLLER BLOCK AND PERSONALITY MODULE / SEMITOOL
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 191 sales@semistarcorp.com
6166 SEMITOOL A192‐81M‐0215 / ROTOR ASY SEMITOOL SRD 8" ROTOR 200MM / SEMITOOL
6167 SEMITOOL ALPHA TOOL
6168 Semitool LT‐210 / Equinox Lift‐Rotate Assembly with ECD
6169 SEMITOOL Mini RAIDER TOOL
6170 Semitool Paragon Cu/Au Plating System
6171 Semitool Paragon ECD Plating System
6172 SEMITOOL PFA BOWL ASSEMBLY T239235
6173 Semitool RAIDER 300mm Drive Head Motor (AMAT / Semitool #: 220T0073‐100)
6174 SEMITOOL RHETECH SRD / Spin Rinser Dryer R&D Systems Double stack 200 mm WAFER
6175 SEMITOOL RTA COMPUTER MODULE 900T05019 90005‐56
6176 SEMITOOL SAT103‐10 / SEMITOOL ‐ TANK ASSEMBLY 1 DOME 208V / SEMITOOL
6177 Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6", 402322
6178 Semitool ST‐860 Dual Stack Spin Rinser Dryer (SRD) w/3" PA‐82M Rotors
6179 Semitool STI  ST‐860 100mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working
6180 Semitool STI  ST‐870 125mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working
6181 Semitool STI  ST‐870 125mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working
6182 Semitool STI ST‐470 SRD, Spin Rinser Dryer With Controller, Stand Alone
6183 Semitool Swing Arm Motor (P/N: T61105‐56) ‐‐ AMAT, Allied Motion, Emoteq
6184 Semitool WST 406‐MG
6185 Semitool, 1‐Phase, SRD, Spinner Rinser Dryer, 4", 416296
6186 SEMIX INCORPORATED 303FN‐167743 / RR304 SIC FINGER END EFFECTOR 
6187 SEMVision cX Defect Review System Interface, Stand Alone, Analysis, Inspection
6188 SensArray Corporation ProcessProbe Instrumented Wafers 1501A‐5‐0189
6189 SensArray KLA 1840A‐12‐5008A 12" Process Probe Instrumented Wafer RTD/TC 300mm
6190 Sensata IQ Phase Qinex Temperature Thermal Forcing System Calibrated ‐55c/ 250c
6191 Sensor Pelec 6300756111
6192 Sentech SE400 adv Laser Ellipsometer System, w/Cart, Computer, Monitor, SW
6193 SEREN R601 RF Generator Power Supply ‐‐‐‐‐‐‐‐ NEW‐‐‐‐‐‐
6194 Seren TERA R601 600W RF Generator Plasma Power Supply 9600610026 13.56MHz March
6195 SEZ 2022461‐00 Ceramic End Effector VAC Flip 8", 12" Std
6196 SFI 7" Ser 111 Tungsten Sputtering Target
6197 SFI 9" Ser 111 Tantalum Sputtering Target by Tosho NEW
6198 sfs6100 / sfs5500 laser working condition
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 192 sales@semistarcorp.com
6199 sfs6220 / sfs6200 Scanner Assy
6200 SGL CARBON LLC 712353 KIT BARREL SUSCEPTOR 150MM New Surplus
6201 SHAREMATE SMART PCB ROUTER
6202 SHARON VACUUM EVAPORATOR (LONG THROW ELECTRON BEAM)
6203 SHARON VACUUM EVAPORATOR (LONG THROW ELECTRON BEAM)
6204 Sharon Vacuum Wafer Bonder ‐ Configured for (12) 4" Wafers
6205 Sheldon 1450 Lab Oven
6206 SHIMADZU CORPORATION TURBO PUMP TMP3203LMC‐K1
6207 SHIMADZU MOLECULAR TURBO PUMP TMP‐303LME
6208 SHIMADZU PRECISION INC 262‐75561 / TMP POWER UNIT EI‐202MA
6209 SHIMADZU TMP‐2001‐LME TURBO MOLECULAR PUMP; HITACHI 1‐810974‐N/R
6210 SHIMADZU TMP‐3203LMC‐A1 / TURBO MOLECULAR PUMP 21KRPM / SHIMADZU
6211 SHIMADZU TMP‐3203LMEC / TURBO MOLECULAR PUMP 21000RPM / SHIMADZU
6212 SHIMADZU TMP‐3403LMC‐T4 / TURBO MOLECULAR PUMP / SHIMADZU
6213 Shimadzu TMP‐3403LMC‐T4 Turbo Molecular Pump with Controller, Cables, Used Pull
6214 Shimadzu TMP‐3403LMEC Turbo Pump, Offline Working Needs Rebuild, by Provac Sales
6215 Shimadzu TMP‐3403LMTC Turbo Molecular Pump Set w/ Control EI‐D3403MT & Cables
6216 SHIMADZU TURBO MOLECULAR PUMP 1000LK
6217 SHIMADZU TURBO MOLECULAR PUMP TMP‐280L
6218 SHIMADZU TURBO MOLECULAR PUMP TMP‐3403LMC‐T4 ES3D80‐0094811K11
6219 SHIMPO FGS‐50H Hand Wheel Test Stand DFS‐100R Digital force Gauge Mitutoyo
6220 Shinkawa SUS‐45 45° Feed Wedge Wire Bonder
6221 Shinko 3D80-050107-V1 300mm Wafer Prealigner SCE9210005
6222 Shinko 3D80‐050107‐V1 300mm Wafer Prealigner SCE92100050 TEL T‐3044SS Cut Cables
6223 Shinko 3D80‐050107‐V1 300mm Wafer Prealigner SCE92100050 TEL Telius Working
6224 Shinko 3M80‐002575‐11 300mm Load Port SELOP12F25‐2530 TEL Trias TB SPA Working
6225 Shinko BX80‐0001‐40‐V1 LM‐ARM‐CONT(CE) Robot Controller SCE92300107 TEL Telius
6226 Shinko BX80‐070974‐11 300mm Wafer Prealigner SBX92101867 Trias TB SPA Working
6227 Shinko SELOP12F25‐S6K0003 Foup Load Port Smart SELOP VI KLA Tencor *new surplus*
6228 Shrader Scientific R‐3102 E‐X410 3 cu. ft.~ RF Sputtering Vacuum Chamber
6229 SiC Process Tube
6230 Siemens 00335980S01 Collect & Place Head w/ 00344487‐02 PC Board & Nozzles
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 193 sales@semistarcorp.com
6231 Siemens Feeder Calibration Unit
6232 Siemens Matrix Tray Unit
6233 Siemens Sicomp IPC FI20 PLC Controller
6234 Siemens Siplace 80 S20 FS 02 SMT Surface Mount Machine
6235 SiGen MeV LINAC Linear Accelerator Buckley Magnets Cryo‐Plex 10 Vac Pump
6236 Sigma Instruments SID‐142 Multi Channel Thin Film Deposition Controller
6237 Sigma Systems M30M Dual Temperature Chamber w/ Model C4 Programmable Controller
6238 Sigmameltec RTS‐500 CDL Dispense Cart 
6239 Sigmameltec RTS‐500 Linear Developer Dispenser SGM‐01U312C SGMP‐01U312 Used
6240 Sigmameltec RTS‐500 Linear Developer Dispenser SGM‐01U312C SGMP‐01U312 Used
6241 Signatone 12 inch Probe Station Prober Cascade Microtech RF Probe 1 YR Warranty
6242 Signatone 8 inch Prober for DC and TD Refurbishd 1 YEAR Warranty & Mitutoyo FS70
6243 Signatone CM170‐6 CheckMate Wafer Prober Probe Station w/ 6" Chuck
6244 Signatone CM200 prober,8" Refurbuished 1 YEAR Warranty Mitutoyo with Polarizer
6245 SIGNATONE CM312
6246 Signatone CM400 CheckMate Wafer Prober Probe Station w/ 8" Vacuum Chuck
6247 Signatone Multiprobe MP1 Atomic Force Prober System (AFP)
6248 Signatone Probe Station S‐1160 W/ Newport Vibration Table VH3660W‐OPT
6249 Signatone S‐1160A‐5 Probe Station
6250 Signatone S‐250‐6 Submicron 6‐inch Manual Analytical Prober5
6251 Signatone Smart Semi‐Automatic Probe Station S‐4856‐570ESA
6252 SIGNATONE SYS‐301 / SIGNATONE MANUAL PROBE / SIGNATONE
6253 Sikama Falcon 5 x 2 Reflow Belt Furnace Two [2] Zone S/N: 83‐49‐044
6254 Sikama Falcon 5 x 2 Reflow Furnace
6255 SIKAMA FALCON 5C NITROGEN SOLDER REFLOW FURNACE SN 08‐11‐186
6256 Sikama Falcon 5C Reflow Belt Furnace S/N: 01‐32‐132 3 Ph 4 Wire 50/60 Hz 208V
6257 Sikama Falcon 5C Reflow Belt Furnace S/N: 08‐11‐186 Single Phase
6258 Sikama Falcon 5C Reflow Belt Furnace S/N: 99‐12‐070 Single Phase
6259 SIKAMA FALCON 5G SOLDER REFLOW FURNACE
6260 SIKAMA FALCON 5x5 Reflow Belt Furnace No N2 cover S/N:  88‐34‐030
6261 Sikama Falcon 8x3 Reflow Belt Furnace S/N: 87‐06‐039
6262 Sikama Falcon 8x4 Reflow Belt Furnace 4 Zone    420ºC S/N: 95‐49‐190
6263 Sikama Falcon 8x4C Reflow Belt Furnace S/N: 96‐40‐199
6264 SIKAMA FALCON UP2000 ULTRA PROFILE 2000 Reflow Furnace
6265 SIKAMA FALCON UP2000 ULTRA PROFILE 2000 REFLOW FURNACE
6266 SIKAMA FALCON 8 Reflow Belt Furnace S/N:  88‐51‐063
6267 SIKAMA International Falcon 1200 Up to 420°C Reflow Furnace
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 194 sales@semistarcorp.com
6268 SIKAMA Reflow 8 x10C Wafer Reflow Furnace
6269 SIKAMA Reflow 8x10C Wafer Reflow Furnace, 8" Wide, 10 Zones, Nitrogen Capable
6270 Sikama Ultra profile 2000 reflow oven
6271 Silicon Valley Group SVG 88 Dual Coater Track # 1 / Cybor Photoresist Pumps
6272 Silicon Valley Group SVG 88 Dual Coater Track #2 / Photoresist Pumps
6273 Simco Ion Systems 280A Charged Plate Monitor
6274 Simplimatic 2171 4 person slide line progressive assembly station flat belt conv
6275 Simplimatic 3130L Magazine Loader (C150901)
6276 Simplimatic 8010 Conveyor
6277 Simplimatic Automation Cimtrak PCB 22" Conveyor Model 3011
6278 Simplimatic Automation Cimtrak PCB Bare Board Stacker Model: 3232
6279 Simplimatic Automation Cimtrak PCB Conveyor 22" Model 3011
6280 Simplimatic Automation Cimtrak PCB Conveyor 48" Model 2010
6281 Simplimatic Automation Cimtrak PCB Destacker, Inverter, and Stacker SET
6282 Simplimatic Automation Cimtrak PCB Laser Etch Marker Line
6283 Simplimatic Cimtrak 2171 4 person slide line progressive assembly station flat b
6284 Simplimatic CIMTRAK 3130L Multi Magazine loader (end of line) ‐ 04‐2015 #M349160
6285 Simplimatic CIMTRAK 3130U Multi Magazine unloader (front of line) ‐ 01/2012 #M26
6286 SIMPLIMATIC CIMTRAK 3192U & 3192L Magazine loader / unloader set
6287 Simplimatic Cimtrak PCB Board Inverter / PCB Flip Conveyor Model 3050
6288 Simplimatic Cimtrak PCB Dual Lane Inspection Workstation Conveyor 48" Model 3034
6289 Simplimatic Cimtrak PCB Inspection Workstation Conveyor 36" Model 3031
6290 Simplimatic Cimtrak Servo Side Shuttle Dual Lane Conveyor Model# 3070
6291
SINCHOON FT3301W / SINCHOON TURBO MOLECULAR PUMP / MITSUBISHI WITH VAT 65048‐JH52‐
AEK2
6292 SINFONIA SSM‐2075BGMS‐C SUMITOMO CYCLO DRIVE CNVX‐4105‐LB‐11 WITH SHINKO SS‐SERV
6293 Sinfonia TSBX92303986‐2 Robot Controller LM‐ARM‐CONT Copper Exposed Working
6294 SinGen Chamber, 200MM Applied Materials
6295 Single Track Coater SVG‐8100 used, complete
6296 SINTON Instruments WAFER TEST System w/ EXTRAS
6297 SINTON TECHNOLOGIES WCT‐120/ SUNS‐VOC WAFER LIFETIME TEST System w/ EXTRAS
6298 SIS‐212 Heavy Duty Softwall Cleanroom. Modular Cleanroom. 18 X 18
6299 SIS‐212 Portable Softwall Cleanrooms On Casters w/ Hepa Filters USED LOT (7847)R
6300 SLEE Glovebox w/ Metal Can Projection Welder Hermetic Sealer
6301 Slee Vacuum Oven Linear 4Port Glovebox MC Hanson Seam Sealer Welder Can Welding
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 195 sales@semistarcorp.com
6302 Slit Valve/Wide Body Chamber/ Varian? VAT
6303 Sloan Dektak 3030 Surface Profiler Profiling Measurement Profilometer
6304 Sloan Dektak 3030 Surface Texture Analysis System / Profiler (#2774)
6305 SMC Chiller SMC INR‐496‐001D Water cooled. Certified with 90 days warranty.
6306 SMC Dual Chiller INR‐341‐42A Chiller‐Heat Exchanger
6307 SMC HBR4007Z‐X003‐ DAJ00037 Thermo Chiller
6308 SMC High Vacuum Gate Valve
6309 SMC HRZ010‐W2S‐Y Thermo Chiller
6310 SMC HRZ010‐WS Thermo Chiller (new surplus)
6311 SMC INR‐130400604 / SCAN‐HEAD, GALVO RS.S.10‐3 532M HEAD / ROFIN‐SINAR
6312 SMC INR‐244‐265A‐3004 / TEMP CONTROLLER UNIT (THERMO CONTROLLER) / SMC
6313 SMC INR‐244‐424 / CONTROLLER, COOL PLATE TEMP CONTROLLER / DNS
6314 SMC INR‐341‐57B‐X101 Dual Chiller
6315 SMC INR‐496‐003D / THERMO CHILLER REV.1 / SMC
6316 SMC INR‐496‐003D Thermo Chiller (AMAT PN: 0190‐14649)
6317 SMC INR‐496‐003D water cooled chiller, Excellent condition with warranty.
6318 SMC INR‐497‐022A‐X004 / SMC DUAL CHANNEL CHILLER / SMC
6319 SMC INR‐498‐003B Chiller, heat exchanger. Refurbished. Certified with warranty.
6320 SMC INR‐498‐003B Chiller, heat exchanger. Refurbished. Certified with warranty.
6321 SMC INR‐498‐003B‐X0 / CHILLER3 PHASE 3 WIRE G LINE 20AMP 10KA / SMC
6322 SMC INR‐498‐003D Thermo Chiller Rev. 1, 3 Phase ‐ Used
6323 SMC INR‐498‐012D‐X007 THERMO CHILLER, HEAT EXCHANGER, NEW WITH 6 MONTHS WARRANTY
6324 SMC INR‐498‐012D‐X007 THERMO CHILLER, HEAT EXCHANGER, TESTED WITH 90 DAYS WARR.
6325 SMC INR‐498‐016B / THERMO CHILLER / SMC
6326 SMC INR‐498‐016C Thermo Chiller w/ Cable AMAT PN: 0150‐24847 Rev. 02
6327 SMC INR‐499‐201 / SMC DUAL CHANNEL CHILLER / SMC
6328 SMC INR‐499‐201 / THERMO CHILLER / SMC
6329 SMC INR‐ADE Series 350 Robot PN: 353‐071 (‐0071) Arm 022256‐02, ASM PN: 78‐115406A05
6330 SMC INR‐Adeon Mass PRS 3000 Solder Paste Recycling System PCB SMT PC Board
6331 SMC INR‐Advanced Energy MDX‐10K Delta Slave DC Power Supply PN: 3152104‐008 MRC 10kW
6332 SMC INR‐Agilent Varian Turbo V301 Navigator Turbo Molecular Vacuum Pump, NIB
6333 SMC INR‐alcatel adixen ATH 500M turbo pump turbomolecular vacuum pump UHV iso100
6334 SMC INR‐Amat 0020‐34455 Adaptor Top
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 196 sales@semistarcorp.com
6335 SMC INR‐AMAT Applied Materials 0240‐17564 Kit, Platen Pulley Retrofit CMP Reflexion
6336 SMC INR‐AMAT/Applied Materials PN: 0010‐10132 150 mm/6" P21 Susceptor Assembly P5000
6337 SMC INR‐Angstrom Sciences Onyx Intevac Sputtering RM PVD Magnet Assembly
6338 SMC INR‐Applied Materials 0240‐52897 Rev 2 Kit Indexer DRPII Endura 2 SWLL Warranty
6339 SMC INR‐Applied Materials 0242‐43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM
6340 SMC INR‐Applied Materials 0242‐45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty
6341 SMC INR‐Applied Materials 0242‐45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL
6342 SMC INR‐Applied Materials AMAT‐0 P‐5000/P5000 DSAD ILD Heat Exchanger
6343 SMC INR‐Applied Materials/AMAT 0020‐70275 Faceplate, 300mm
6344 SMC INR‐Applied Materials/AMAT 0040‐53688 Gas Box *NO BLUE BOX!*
6345 SMC INR‐Applied Materials/AMAT 0041‐49935 REV 01 Faceplate, Coated, Direct Cooled
6346 SMC INR‐Applied Materials/AMAT 0200‐16115 Isolator, Lid, Sequoia, 300mm
6347 SMC INR‐Applied Materials/AMAT PN: 0010‐00171 Gate Valve Actuator Assembly
6348 SMC INR‐ASM 78‐107228A12 300mm Universal Load Port Asyst Isoport PN: 9701‐1256‐01 S3
6349 SMC INR‐ASM A600/A 600 OXI, STM, LPC Boat Elevator 3 Chambers (Chamber) Vertical
6350 SMC INR‐ASM PN: 02‐140273‐01 Assy‐Cover‐Prot‐Maint‐WHC‐RH
6351 SMC INR‐ASM PN: 02‐194716‐01 ASSY‐ELEVATOR BALL SCREW
6352 SMC INR‐ASM PN: 02‐320586D01 Assy‐Reflector‐Center‐Lower (Refl‐D)
6353 SMC INR‐ASM PN: 02‐350995D01 Assy‐Dynamic Pressure Regulator Assembly
6354 SMC INR‐ASM PN: 03‐140485‐01 PCB Assy Mod Sys Intfc Hyb Ph2 CE Board Assembly
6355 SMC INR‐ASM PN: 04‐146750‐01 Kit‐Containment PRCS MOD X‐EPI Processor Module
6356 SMC INR‐ASM PN: 04‐179611‐01 In‐Kit‐Susceptors‐DTS‐P8300 (Susceptor Polygon)
6357 SMC INR‐ASM PN: 04‐188653‐01 Kit‐Reactor Gate Valve Intlk‐Ph1
6358 SMC INR‐ASM PN: 04‐332828‐02 Kit‐Blades‐Stepped‐Intmd‐Inj Plt, Injector Plate
6359 SMC INR‐ASM PN: 04‐351408‐01 Kit‐Rgtrn Plates‐150/200MM ASM Cass, Cassette 6/8"
6360 SMC INR‐ASM PN: 1002‐184‐01 Heater H12IHE Assembly/Assy
6361 SMC INR‐ASM PN: 1002‐370‐001 Platen Assembly, Uni HSE
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 197 sales@semistarcorp.com
6362 SMC INR‐ASM PN: 16‐178840D01 Chamber‐Process‐ATM‐300MM (300 mm/12") Atmosphere
6363 SMC INR‐ASM PN: 16‐187840D01 Quartz Chamber‐Process‐300MM RP‐Short Flange
6364 SMC INR‐ASM PN: 16‐193622‐01 Susceptor‐125MM‐1.2MM Thk Substrate
6365 SMC INR‐ASM PN: 16‐351420D01 Wand‐Arm Support‐200MM UHP (200 mm/8") Quartz
6366 SMC INR‐ASM PN: 16‐352386‐01 Assy‐Wand/Arm‐100MM‐Thick
6367 SMC INR‐ASM PN: 2312158‐01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps
6368 SMC INR‐ASM PN: 2604782‐01 QTZ ATM Atmospheric Furnace Process Tube (Quartz, A400)
6369 SMC INR‐ASM PN: 73008‐00317 Eagle 400 Susceptor Heater Kit w/95100‐81006A
6370 SMC INR‐ASM PN: 83‐125000A56 ATM RB End Effector XYCarb
6371 SMC INR‐ASM PN: 91210‐35118 High Speed Switching, Manifold Valve KITZ SCT
6372 SMC INR‐ASM PN: 96‐125485A16 Valve Assy‐10K‐1/4" Auto Assembly Kitz SCT 91210‐35118B
6373 SMC INR‐ASM PN: D004651D Solid Source Container‐HIG
6374 SMC INR‐ASM PN:16‐180621B01 Gate Valve‐Modified‐Water Cooled VAT PN: 02112‐AE44‐AAJ1
6375 SMC INR‐ASM/VAT 03112‐LH24‐ABG1 PN: 50‐125346A01 Vacuum Gate Valve, Insert Style
6376 SMC INR‐ASTeX 5kW 2.0 MHz Variable Source RF Generator ARX‐X324 AMAT 0190‐01961 ETO
6377 SMC INR‐ASTeX SXRHC Refurbished w/6‐month Warranty
6378 SMC INR‐Asyst PN: 9700‐6209‐01 Power Distribution Unit Kit, ASM PN: 91‐125327A03
6379 SMC INR‐Asyst PN: 9700‐6209‐01 Power Distribution Unit Kit, ASM PN: 91‐125327A04
6380 SMC INR‐Automatic Destacker for PCBs SMT PCB Assembled Boards, Custom Built
6381 SMC INR‐BOC EDWARDS IGX 100N DRY VACUUM PUMP 200/230V 50/60HZ A53611958R AMAT
6382 SMC INR‐BOC Edwards STP451CVB
6383 SMC INR‐Brooks Automation Marathon 600 Vacuum Cluster Tool w/MagnaTran 7 Robot ASM
6384 SMC INR‐Brooks Automation Robot 162802 with Controller 164791 with or without JET
6385 SMC INR‐Brooks Automation SENTRY 1510 Manual Pressure Control System PN: 12908
6386 SMC INR‐Comdel CDX‐1000 13.56MHz/2MHz Dual Fre RF Generator AMAT PN: 0190‐07242
6387 SMC INR‐CTI Cryogenic On‐Board WaterPump 8120647G001/ Fast Regen Control Sputtering
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 198 sales@semistarcorp.com
6388 SMC INR‐Cymer EX‐5700‐II/EX5700 DUV 248nm Stepper Excimer Laser, Nikon?
6389 SMC INR‐Eaton/Axcelis 200A 0342‐0579‐3001 Ion Implanter Column/Accelerator Tube
6390 SMC INR‐Electro Scientific Industries, Inc. (ESI) 4900‐1107 XY Stage *OPEN BOX!*
6391 SMC INR‐ERSA Hotflow 2/20 Reflow Oven ‐ Flexible Profile Setup
6392 SMC INR‐Flipper Conveyor, Inverter Conveyor for Double Sided Assembled PCB
6393 SMC INR‐Genmark GPR Vacuum Wafer Elevator, ASM PN: 78‐115406A49
6394 SMC INR‐Genmark SMALL 9800106571 Robot System Controller ASM PN: 54‐115407A12
6395 SMC INR‐GT Advanced Technologies ASF Sapphire Crystal Growth Furnace/Vacuum Chamber
6396 SMC INR‐HALF METER Quality SMT Link Conveyors, By Pass Mode or Inspection Mode
6397 SMC INR‐HERAEUS QUARTZ 170 SLOTS WAFER BOAT P/N 63421, 2105‐120512, REV. 51
6398 SMC INR‐Hot Roll Laminator ‐ 18”HRL ‐ replaces Dynachem, DuPont HRL Western Magnum
6399 SMC INR‐ILC Technology HSH 1500 CIEO Super High Pressure Mercury Short Arc Lamp
6400 SMC INR‐ILC Technology HSH 1500 CILO Super High Pressure Mercury Short Arc Lamp
6401 SMC INR‐in Box Universal Instruments Magellan 0.5Mpp Upward Looking Camera 50322401
6402 SMC INR‐Lam Research 553‐22801‐00 RF Match * Trazar AMU10D‐4.F47 Mattson Aspen III
6403 SMC INR‐LAM RESEARCH 715‐030002‐001 RING, FILLER UPPER
6404 SMC INR‐Lam Research 853‐043759‐218 RF Generator 1000828 Rev. A Matcher Chamber
6405 SMC INR‐Lead Free 450 Wave Solder Machine Titanium or Cast Iron Pot Train & Install
6406 SMC INR‐leybold turbovac 350i oil free mag‐lev high compression UHV turbopump vacuum
6407 SMC INR‐Nikon 4B701‐247 Nozzle Pump Assembly NSR‐S620D System Working Spare
6408 SMC INR‐Oerlikon 790 PECVD CHAMBER / Oerlikon 790 PM / ENI ACG‐6B‐03 / SEREN MC2
6409 SMC INR‐TEL Tokyo I/O 4 Stage Carrier, Used
6410 SMC INR‐TEL Tokyo Kondom FU‐ION8 Filter Unit, Used
6411 SMC INR‐Temescal Airco ASC‐3200S Control Panel *for parts*
6412 SMC INR‐Temescal Airco ASC‐3200S Control Panel *sold as is, for parts*
6413 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *for parts*
6414 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *sold as is*
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 199 sales@semistarcorp.com
6415 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *sold as is, for parts*
6416 SMC INR‐Thermco Diffusion Furnace 6” 3Stacks
6417 SMC INR‐West Bond 242627B Automated Wire Bonder
6418 SMC THERMO CHILLER 0190‐53161 AC200/200‐208V 50/60HZ 30A 5KA HEAT EXCHANGER
6419 SMC Thermo Chiller HRS012‐WN‐20 / HRS012WN20 Water cooled. Have 3 total.
6420 SMC THERMO CHILLER INR‐494‐007 AC200/200‐208V 50/60HZ 45A 50KA HEAT EXCHANGER
6421 SMC THERMO CHILLER INR‐494‐007 AC200/200‐208V 50/60HZ 45A 50KA HEAT EXCHANGER
6422 SMC WATER COOLED THERMO CHILLER HEAT EXCHANGER HRW008‐H2‐NYZ
6423 SMC XGT221‐46236‐2C1‐X176 / ACTUATOR DMOS5 SMC SLIT VALVE / SMC
6424 SMC XGT300‐30‐1A‐X804 / SLIT‐VALVE PRESS 10‐6 Pa~atm OPE. PRESSO.45~.6 MPa / SMC
6425 SMC XGT512‐70508‐X693 High Vacuum Slit Valve ‐ New in Crate
6426 SMC, INR‐498‐003B, Thermo Chiller
6427 SMS WETBENCH 10‐000‐0392 / TANK‐SEALED SOLVENT(SMS WETBENCH) / SCP SERVICES
6428 SMT 90 Degree Turn Conveyor, SMT 90 Degree Corner Conveyor, Custom Built
6429 SMT assembly line Juki KE‐750, Juki KE‐760, Dek Horizon i03
6430 SMT conveyors, Bypass, Link Conveyors, Inspection Conveyors, Parts Warranty
6431 SMT PCB Washer Cleaner, Batch Washer, Aqueous 1000LD Low Discharge SMT Series
6432 SMT QM1100A Dual Head Automatic Desk top SMT Pick and Place Machine
6433 SMT QM1100‐B Dual Head Automatic Pick and Place Machine w/ Festo Ball screw
6434 SMT QM1500 Pick and Place Machine with Air Compressor and Reflow Oven
6435 SMT QMT‐1100M Tape and Reel Packaging 
6436 SMT Reject NG OK Conveyor, PCB Assembly Conveyor Special Build, $8700
6437 SMT Shuttle Conveyor, Nutek Shuttle Gate Conveyor, Telescopic Conveyor, NTMGPTL
6438 SMT SRT 1100 Rework Station PCB SMT
6439 SMT Stencil Printer Unique 18inch x 18 Printing System with Precision Adjustment
6440 SMT Stencil Printer Unique Large Area Printing System with Precision Adjustment
6441 SMTmax Automatic Stencil Printer ‐ SMTmax
6442 SMTMAX Reflow Oven ‐ Single Phase ‐ SMTMax AE‐F530C 5 zone
6443 SNIPER SPLIT VISION A.P.E 7007‐1000 SNIPER SPLIT VISION
6444 SOI, SIMOX 150mm Dia. 5um Device Si, Prime,Silicon Wafers, 25pcs, Sealed Box
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 200 sales@semistarcorp.com
6445 SOI, SIMOX 150mm Diameter, Prime, Silicon Wafers, 25pcs, Sealed Cassette
6446 SOLD ‐‐ CyberOptics QX500 AOI 2010 inspection system in Excellent Condition
6447 Solitec 5100 Manual Spin Coater
6448 Solitec 5110 (8mm) 150mm Wafer Processing Photoresist Spin Coater Tested Working
6449 Solitec 5110‐D (8mm) Photoresist Coater Developer
6450 Solitec 5410 ND Wafer Processing Developer
6451 Solitec FlexiFab Coater / Developer
6452 Solitec Photoresist Coat / Bake System 820‐CB ++
6453 Solitec Wafer Processing Model 5110‐C Photoresist Spinner Coater
6454 Sonitek EZ‐501/1 TS500 Thermal Press w/ GWS SP1000M Table
6455 SONIX SAM SCANNING ACOUSTIC MICROSCOPE UHR‐2001 (#2918)
6456 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 EP‐GW NSR‐S204B Used
6457 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used
6458 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis Nikon NSR Used
6459 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis NSR‐S204B Used
6460 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Z‐Axis NSR‐S204B Used
6461 Sony 1‐675‐992‐12 Laserscale Processor PCB Card DPR‐LS21 EP‐GW 
6462 Sony 1‐675‐992‐12 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used
6463 Sony 1‐675‐992‐13 Laserscale Processor Card PCB DPR‐LS21 EP‐GW NSR‐S307E Used
6464 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 EP‐GW Nikon NSR Used
6465 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used
6466 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis NSR‐S204B Used
6467 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 Z‐Axis NSR‐S204B Used
6468 Sony 1‐677‐707‐13 LS Ctrl PCB DPR‐LS22 Nikon 4S018‐852‐2 Nikon NSR 
6469 Sony 1‐677‐707‐13 PCB DPR‐LS22 4S018‐852‐3 Nikon NSR‐S307E 
6470 Sony 1‐689‐898‐11 Laserscale AMP Detector Card 4S008‐247 Nikon NSR‐S306C Used
6471 Sony 1‐689‐898‐11 Laserscale AMP Detector Card PCB 4S008‐248 Nikon NSR‐S306 Used
6472 Sony Technolook TW‐TL10S Video Microscope
6473 SONY TEKTRONIX 371 CURVE TRACER W/ 371 TEST FIXTURE
6474 Sopra Ellipsometer GXR
6475 Sorensen DCS 40‐25M37 Power Supply, 0‐40 Volts, 0‐25 Amps
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 201 sales@semistarcorp.com
6476 SORENSEN SGA80X185C‐0AAABD / E19297970 AMETEK HIGH PWR PRGMBLE PWR SPLY / SORENSEN
6477 Sortcam sfs6220 / sfs6200
6478 Sp1 DLS Laser
6479 Sp1 DLS laser air blower
6480 SPEA C320‐MX Semiconductor Tester w/ M300 Manipulator
6481 SPEA C320‐MX Semiconductor Tester w/ M310 Manipulator
6482 Spectra‐Physics Tristar 600‐12K‐09 Laser w/J20I‐8S40‐12K‐TRI Power Supply +2200B
6483 Spectronics Corp Spectroline PC‐8820A UV Eprom/Wafer Erasing System
6484 Spectrum 260‐00625 Processor Card PCB FRU 600‐00288 Nikon NSR‐S205C Spare
6485 SPEEDFAM 24" SPEEDFAM MODEL JNG‐SH24 WAFER GRINDING, LAPPING & POLISHING MACHINE
6486 SpeedFam‐IPEC/Novellus 676 AvantGaard CMP System Polisher/Planer/Planarization
6487 Speedline ‐ Electrovert Omni 7 Reflow Oven (091180)
6488 Speedline / MPM UP3000/A Ultraprint 3000 Automatic PCB Board Stencil Printer
6489 Speedline / MPM UP3000/A Ultraprint 3000 Automatic PCB Board Stencil Printer.
6490 Speedline Camalot 3800 Inline Dispenser (2001)
6491 Speedline Camalot 3800 Inline Dispenser (2001)
6492 Speedline Camalot 3800 Inline Dispenser (2001)
6493 Speedline Camalot 5700 Dispensing System
6494 Speedline CAMALOT FXD8000 Fluid & Solder Paste Dispenser ‐ See Video
6495 Speedline Electrovert Aquastorm 200 In‐line Aqueous Cleaner
6496 Speedline Electrovert Bravo 4050 Convection Reflow Belt Oven / Soldering Oven
6497 SPEEDLINE Electrovert Omni 7 REFLOW OVEN
6498 Speedline Electrovert Omniflex 7 reflow oven
6499 Speedline Electrovert Vectra 450/F Wave Solder w/USI Spray Fluxer‐Lead Free Pots
6500 Speedline MPM Accela Screen Printer
6501 Speedline MPM Accuflex 2005 Fully Functional
6502 Speedline MPM AP Excel Screen Printer
6503 Speedline MPM Momentum Elite Screen Printer
6504 SPEEDLINE MPM SPM SPM‐B
6505 Speedline Technologies MPM Accuflex. Works Great.
6506 SPEX 8000M Mixer Mill Grinder Pulverizer Shaker SamplePrep w/SS Vial ref #39564
6507 SPF KOH Hood Specialty Plastics and Fabrication KOH Hood Wafer Strip Wet Process
6508 SPF NS‐FAB Waste Water Collection and Treatment
6509 Spicer Field Cancelling System Type SC12
6510 Spin‐coater 24" Gen 2 glass with lamination mechanism
6511 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 202 sales@semistarcorp.com
6512 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
6513 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
6514 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
6515 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
6516 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty!
6517 SPITFIRE 36" POLISHING LAPPING BETTER THAN EXCELLENT CONDITION MAKE OFFER
6518 SPTS DT319143 OUTER CERAMIC LINER/RING SPTS
6519 SPTS Primaxx Monarch 3 HF Release Etch System
6520 SPTS TECHNOLOGIES 156544 / PLASMA PLATEN /TRIKON/SPTS TECHNOLOGIES
6521 SPTS TECHNOLOGIES A45188 / LOWK FF MK3B LF / TRIKON/SPTS TECHNOLOGIES
6522 Sputter Coating System ‐ Ten 3" magnetrons, dry pump, AC DC power supplies
6523 Sputter with various Accessories
6524 SPYS 189386 SPTS Ceramic Chamber Liner
6525 SRS RGA 200
6526 SSEC 3302 Single Wafer Chemical Etch Processor6
6527 SSEC 3308 Coat Developer ‐ Dual Cassette to Cassette
6528 SSEC CLEAN 3300
6529 SSEC CLEAN 3300
6530 SSEC EVERGREEN II SCRUBBER scrubs up to 200mm wafers
6531 SSEC Evergreen Series II 202 Photoresist Wafer Etcher
6532 SSEC Evergreen Series II 202 Spray Solvent
6533 SSEC GLOVE BOX
6534 SSEC Model 3300 ML Trillenium Photomask Cleaner with Single Brush Scrub
6535 SSEC NTe hermetic package sealer with enclosure
6536 SSEC Solid State Equipment M20 Mask Washer evergreen 
6537 SSEC Wafer Etcher MODEL CSU
6538 SSM Solid State Measurements INC. SSM 470I CV MEASUREMENT SYSTEM
6539 SST / Palomer HV‐2200 GT / DAP 2200 / SST 3150 High Vacuum Furnace / turbo pump
6540 SST DAP 1100 SCIENTIFIC SEALING TECHNOLOGY
6541 SST DAP 2200 ‐ Parts Unit for Rebuilding ‐ As‐is per photos
6542 SST DAP 2200 SCIENTIFIC SEALING TECHNOLOGY
6543 SST MV 2200 SCIENTIFIC SEALING TECHNOLOGY
6544 SST MV‐2200‐CE Programmable Medium Vacuum Sealing Solder Reflow Furnace / Oven
6545 SST VOIDLESS‐SOLDER‐REFLOW‐EUTECTIC‐SCIENTIFIC‐SEALING‐TECHNOLOGY‐DAP‐2200
6546 Stag Microsystems Verifier Latchup IC ESD Semiconductor Analysis Test System
6547 Stage  18‐015517 Thermawave 8X8 X/Y Stage Assembly
6548 Stainless High Vacuum 6" CF Chamber Leybold Turbovac 150CSV Pump BaratRon MKS
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 203 sales@semistarcorp.com
6549 Stainless Steel Custom Vacuum Chamber W/ MDC GV‐4000M‐P Gate Valve MDC GV‐1500V
6550 Stainless Steel Hot Chuck Concentric rings for vacuum connection 200mm
6551 Stainless Steel Hot Chuck Concentric rings for vacuum connection 6" diameter
6552 Stainless Steel Vacuum Chambers Ion Pumps Gate Valves GV‐4000V‐05, 4"
6553 Stanford Research QMS Residual Gas Analyzer
6554 Stanford Research Systems QM2000 Gas Analyzer
6555 StarSpec SSP3190‐J36 LED Tester Controller with Zvision LED Test Chamber
6556 Staubli Automation CS7MB RX60 Robot Controller with 14 day warranty
6557 Staubli Automation RX60 CR zygo Cleanroom Robot with 14 day warranty
6558 STAUBLI RX130 Robot
6559 Staubli Unimation Silverbox Unival Puma controller CS5 SB 560C w/ warranty
6560 STC 3800 Edge Grinder. Looking for swift sale.
6561 STEAG 00046429‐00 / END EFFECTOR,RIGHTHANDED / SCP SERVICES
6562 STEAG ELECTRONIC SYSTEMS INC 0810523 / PUMP,HIGH TEMPPROCESS,PFF40HT
6563 Steag Hamatech HMP 90 ‐
6564 Steag Micro Tech GmbH Ionization unit
6565 STEAG PUMP, LOW TEMP PROCESS EA‐40VEW / STEAG ELECTRONIC SYSTEMS
6566 STEALTH LPC‐480PCLeG4‐B840‐102GF‐04 / DRIVER INPUT 10V‐26V DC WF‐3016 
6567 Stencil Printer ‐ MPM Accuflex by Speedline Technologies ‐ Used ‐ Works Well
6568 Stencil Printer, Pick n Place, Reflow Oven PACKAGE DEAL
6569 Stepper/Mask Aligner Lens? KB‐02? 4 1/2"
6570 Stoelting Trek Triton InLine PCB Aqueous Washer
6571 Stone Granite Slab 2006 Integrated Dynamics Active Vibration Isolation Table Tru‐Stone Granite Slab
6572 Strasbaugh 6BD‐3. Looking for swift 
6573 Strasbaugh 7 AF GRINDER SILICO WAFER CERAMIC VACUUM CHUCK 8” chuck
6574 Strasbaugh 7AA Backgrinder
6575 STRASBAUGH Lapping Polishing machine STRASBAUGH 6BK 115 volt drive EXC USED COND. 16 "
6576 Strasbaugh Model 6EC CMP Wafer Polisher Complete Unit
6577 Strasbaugh three Carriers, parts kit
6578 Struers Abrapol 2 Polisher Grinder 
6579 Struers Polisher ‐ Prepamatic II
6580 STRUERS PREPAMATIC 2 FULLY AUTOMATIC POLISHER GRINDER5
6581 STS Load Lock Controller
6582 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher
6583 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher
6584 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher
6585 Sturtz Aluminum window door profile machining and cutting fabrication center
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 204 sales@semistarcorp.com
6586 Sturtz Window Sash machining and cutting fabrication center
6587 SULLAIR ES11‐40H WC 460V 40 HP SCREW AIR COMPRESSOR
6588 Sumitomo 4K191‐751 ZL Axis VCM1 M28490 NSR‐S205C Main Body 
6589 Sumitomo 4K191‐752 ZR Axis VCM1 M28491 NSR‐S205C Main Body 
6590 Sumitomo 4K191‐753 ZB Axis VCM1 M28492 NSR‐S205C Main Body 
6591 Sumitomo ADE1 H Control Unit 4S587‐598 M28557 Nikon NSR‐S307E 
6592 SUMITOMO CRYOGENIC PUMP MODEL AKZ‐8L WITH SMC HIGH VACUUM VALVES
6593
SUMITOMO PRECISION  2014 SUMITOMO PRECISION GRF‐RXA20 HIGH CONCENTRATION OZONE 
GENERATOR 
6594 Sumitomo SD1509 HEPA Air Spin Dryer for Semiconductors
6595 Sumitomo X88D1‐0001 Linear Motor Driver Nikon 4S587‐651 NSR‐S307E 
6596 Sunsda SIV‐90T PCB Turn Unit
6597 SUNX M‐825 wafer mapping sensor and flat zone aligner on Kokusai Furnace DD‐823
6598 Surface Mount Techniques SL 2220 Semi Automatic Stencil Printer PCB SMT PC Board
6599 Surface Tension Gradient MicroTech D1‐ N2 Dryer
6600 SURFSCAN CALIBRATION WAFERS ‐ VLSI/KLA‐TENCOR
6601 Surfx Atomflo T Atmospheric Plasma Generator Controller 27.12MHz 300W 110‐240V
6602 Surfx Atomflo T Atmospheric Plasma Generator Controller 300W 27.12MHz 110‐240V
6603 Suss | 1023248, Complete Spacer/Clamp Mechanism
6604 Suss | 1023260, Complete Spacer/Clamp Mechanism
6605 Suss | 4in BSA Vacuum Contact Chuck for 3mm thick wafer ‐ (AND many more PARTS)
6606 Suss | 610MS889, Z Axis Motor
6607 Suss | 860214001D, Motion Control Board 4Axis/T5, W5
6608 Suss | LH0602148, 3/8in Gap Lamp House
6609 Suss | LH0699183, 1/2in Gap Lamp House
6610 Suss | W1015261, Complete WEC Assembly
6611 Suss | Z Axis Shaft, Nut, Bearings, Bracket, Gear
6612 SUSS A111260 Karl Suss MJB3 Mask Aligner, Type 401001
6613 SUSS ACS200 Coater Developer Computer Working Units
6614 SUSS Karl Suss MJB3 Mask Aligner Type 100UV030 w/ Mimir 505 Energy Controller
6615 SUSS MICROTEC LITHOGRAPHY GMBH LAMP HOUSE 5000W ID‐NR.174134
6616 Suss MicroTec M6000L Lift Off System
6617 Suss MicroTec MA6 Bond Tooling
6618 Suss MicroTec PAV 150 Vacuum Prober System
6619 SUSS MJB‐3 Mask Aligner
6620 Suss PH400 manual submicron positioner
6621 Suss SB6 Substrate Bonder
6622 SUZUKI SMT 1200C PICK AND PLACE MACHINE LOW USAGE
6623 SVG / CRYCO Wafer Diffusion Furnace Boat Loader / Thermco Cantilever LPCVD
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 205 sales@semistarcorp.com
6624 SVG 28‐543013‐01‐001 9038S/9037S Bake & Chill Station 28‐43015‐01 9044S 90S Used
6625 SVG 879‐7120‐002 /PCB ASSEMBLY851‐8240‐007(ADSP‐2100)854‐8302‐003A(AFA DAUGHTER/ SVG
6626 SVG 910285‐001 / HEATER ELEMENT LOW TEMP,AVP‐8000 VERTICAL DIFFUSION FURNACE / SVG
6627 SVG 99‐43111‐01 /ASSY BOX ELEC STACKED STN/ SVG
6628 SVG 99‐45453‐01 / CONTROLLER‐FLOW COAT MOD, POLY / SVG
6629 SVG 99‐45958‐01 REV C / CONTROLLER SERVO HI‐ACCEL SVG PACIFIC SCIENTIFIC
6630 SVG 99‐66566‐01 / EXHAUST FLOW CONTROLLER AND PCB (99‐80315‐01) / SVG
6631 SVG 99‐80266‐01 / ASSY PCB STATION CONTROLLER‐ SOFT BAKE, BAKE, DEVELOPER, CHI / SVG
6632 SVG 99‐80269‐01 / 90S SHUTTLE CONTROLLER INTERFACE PCB / SVG
6633 SVG ARM LTN SEMICONDUCTOR EQUIPMENT 99‐16214‐01
6634 SVG ASML 90S Complete Dryer Module 9038S 9037S 99‐206 Station CPU
6635 SVG ASML control rack, A1300 power I/O, A1850 DC power supply, Galil DMC1850
6636 SVG ASML Lithography 865‐8012‐003‐A Staubli CS7 Msvg RX60 SCRsvg with warranty
6637 SVG Coat Develop Track 8836 HPO
6638 SVG COATED MODULE
6639 SVG Dual Track Photoresist Coater
6640 SVG Silicon Valley ASML Series 90‐S Temperature Controller Cabinet
6641 SVG Silicon Valley Group Robitech PCB Module 879‐0791‐001 980‐2300 
6642 SVG Silicon Vally Group 99‐39984‐01 Spin Coater Module 9026 90S DUV As‐Is
6643 SVG Silicon Vally Group 99‐40609‐01 Developer Module 9032 90S DUV As‐Is
6644 SVG/ASML PN: 859‐5163‐005/00‐143‐502 Lexel 85S Laser Power Supply
6645 SVG/SITE Services 8632CTD/8636HPO Developer‐Exposure Track
6646 Synergy Motorola V452‐B 73641‐01 73694G01 72423G03 RF‐2 Upstream VME CompactPCI
6647 T&C Power Conversion AG 1024 LF Generator & Amplifier Class B RF 2000W 
6648 T&C Power Conversion Low / Radio Frequency RF Generator 2000W AG1024
6649 Tabai Espec PL‐2GM Plantinous Lucifer Temperature and Humidity Chamber *working*
6650 Tachibana Tectron TVME2500 VME PCB Card NEC‐16T Rev. B TVME2500‐CRD 
6651 Takaya 8400‐CJ Flying Probe Tester
6652 Tamar Technology WaferScan Non‐Contact Profilometer, Complete w/ Training 7137
6653 Tantec Electrical Surface Treatment Power Generator HV2000 Warranty!
6654 TapTone 500 Container Inspection System ‐ ANSI‐23‐985‐111‐X Version 5.6
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 206 sales@semistarcorp.com
6655 Taylor‐Winfield Resistance Welder EBT‐212‐50AIR w/ Glove Box Vacuum Oven
6656 TAZMO SOG COATER CSX2132N
6657 TDK 300mm Wafer Load Port TAS300 E4A. Man. 2015.
6658 TDK Corporation TAS300 300mm Wafer Load Port Copper No Transponder or Cover Used
6659 TDK Corporation TAS450 Wafer Load Port Type A2 Untested For Parts or Repair SEM
6660 TDK MSE378 Power Supply PCB Card 4S001‐122‐2 Nikon NSR‐S620D ArF Immersion Used
6661 TDK RX 11 ‐ Chip Component Mounter ‐ Pick and Place
6662 TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190‐17212 Working Spare
6663 TDK TAS‐300 Load Port *, 90 day warranty*
6664 Tecdia TEC‐2004TM Manual Wafer Scribing Machine for 3‐inch Silicon Wafer
6665 TECDIA TEC‐3005KD Breaker
6666 Tech 5 Hellma, PCI Interface Board, PD‐PCI01v1, 11‐0106012‐00.
6667 Tech.Devices Co. Mark I‐B Wave Soldering Machine Full of Solder, Feed Tray Incl.
6668 TecHarmonic EHTVS SYSTEM, ENHANCED HIGH TEMPERATURE VORTEX WATER SCRUBBER
6669 Techne SBL‐2 Fluidized Sand Bath (used)
6670 Techni Intec 2010 Techni Intec 612, 6' x 12' Table Size, 50 HP, 60k PSI, CNC Waterjet
6671 Technic Inc Wet Bench Module
6672 Technic Mini Cu Ni Plating Line
6673 Technical Devices Nu / Era Wave Solder System
6674 Technical Devices Nu/Clean PolySMT 318 Board Wash Cleaner w/Saponifier Injector
6675 Technical Devices NuClean 624XL 24" In‐line cleaner washer w/ DI recycling
6676 Technical Instrument K2IND Microscope,
6677 Technical Instrument KMS300 with K2IND/Nikon Technical Instrument 93243129
6678 Technical Instrument KMS300, Wafer Inspection System Computer / Controller
6679 Technical Instruments/Leica Inspectron 880 Wafer Defect Review Microscope
6680 Technics Macro‐RIE 8000 Series Reactive Ion Etching System
6681 TECHNICS MIM‐TLA20 Ion Miller w/ CTI CRYO‐TORR 8 Pump 
6682 Technics PE‐II Planar Etch Benchtop Plasma Ashing Ion Etcher
6683 Technics PE‐II Planar Etch Benchtop Plasma Ashing Ion Etcher For 200mm 8" Wafers
6684 Technics PEIIA Plasma Etcher
6685 Technics Plasma Cleaner 853 WITH 85‐RIE
6686 Techno CNC Laser Cutting Premium Class 5996
6687 Technoorg Linda Gentle Mill SBT TL‐GM1 w/Pfeiffer Turbo Pump & Control
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 207 sales@semistarcorp.com
6688 Technos Trex 610 T. Looking for swift sale. Motivated.
6689 TECHWARE 5 EXPRESS Controller , Brooks Automation
6690 TECHWARE 5 EXPRESS Controller , Brooks Automation / JS‐020‐A T5X‐S2 / 
6691 TECHWARE 5 EXPRESS CONTROLLER / BROOKS AUTOMATION T5X‐S2 / 
6692
TEC‐SEM W65101090 /STEAG DIGITAL MOTION CONTROL ST1/10‐310 (SERVO AMP CDI 2846)/ TEC‐
SEM
6693 Tegal 1512e Industrial RIE Plasma Etcher System w/Modules Assembly For CI‐4222
6694 Tegal 1512e Industrial RIE Plasma Etcher System w/Modules Assembly For CI‐4222
6695 Tegal 33‐527‐001 Bellow Chuck New Surplus
6696 TEGAL 415 PLASMA ASHER
6697 TEGAL 515 PLASMA ASHER (With Warranty)
6698 Tegal 701 Inline Automatic Wafer RF Plasma Etcher Tested Working As‐Is
6699 TEGAL 80‐055‐621 VARIAN TV‐1001 NAVIGATOR MODEL # 9698931 TURBO PUMP
6700 Tegal 80‐095‐278RW PCB MICROPRCS CONTROLLER W/SLOW PROM
6701 Tegal 803 Inline Automatic Wafer RF Plasma Etcher Tested Working As‐Is
6702 Tegal 803 Inline Wafer Automatic RF Plasma Etcher ‐ UNTESTED ‐ As Is / For Parts
6703 Tegal 901e Plasma Etcher #2
6704 Tegal 901e Plasma Etcher 901e Plasma Etcher #1 with ENI ACG‐10 RF Power Supply
6705 Tegal 915 Batch Photoresist Stripper Plasma Barrel Etcher Asher Treatment System
6706 Tegal CC1326‐00100 Chamber‐Stripper For Parts As‐Is
6707 Tegal CR1324‐00100 RF Match Network Source Strip Untested As‐Is
6708 Tegal Endeavor AT PVD tool ‐ SNAP IO SMC Controller for Process Module
6709 TEGAL PLASMALINE MODEL 421 WITH SPARE PARTS! WORKING ? > J
6710 TEGAL PN 80‐055‐621 VARIAN TV‐1001 NAVIGATOR MODEL # 9698931 TURBO PUMP
6711 Tegal Vacuum Cassette Elevator Loadlock VCE, 100/150MM, Left CW1299‐10102 324878
6712 TEIKOKU 4"/6" Sic WAFER TAPE LAMINATOR DXL 800MK II
6713 Tektronix TDS784D 4 Channel Digital Phosphor Oscilloscope, 1 GHz, 452415
6714 Tektronix TDS784D 4 Channel Digital Phosphor Oscilloscope, 1 GHz, 452415
6715 TEKTRONIX, INC AWG610 / OSCILLOSCOPE SCOPE AND PROBES / TEKTRONIX, INC
6716 TEL / FSI Mercury OC Spray Processing System / 5" rotor
6717 Tel 1810‐12193‐11 TEL Unity DRM Upper Silicon Electrode NEW
6718 TEL 1810‐122006‐11, BODY, UEL..G2L, NEW IN AN ORIGINAL PACKAGING
6719 TEL 24663032001 / TEL LITHIUS PRO Z KAWASAKI TRANSFER ARM / KAWASAKI
6720 TEL 2L39‐000039‐25, FTM‐80A1/ DAIHEN RF GEN AUTO MATCHER 3KW‐8KW 3.2MHZ‐40.8M / TEL
6721 TEL 2L39‐000039‐25, FTM‐80A1/ DAIHEN RF GEN AUTO MATCHER 3KW‐8KW 3.2MHZ‐40.8M / TEL
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 208 sales@semistarcorp.com
6722 TEL 2L39‐000046‐23 / HPK6R3ZI‐TE8‐PULSE P.S. KYOSAN / TEL
6723 TEL 2L39‐000048‐54,JFK85TH‐TC5 / KYOSAN RF GEN 8.5KW 3.2 MHZ / TEL
6724 TEL 3150010‐015 /LF‐10  GEN LOW FREQ RF POWER / TEL
6725 TEL 3287‐001202‐15 / ASU ASSY P‐8 / TOKYO ELECTRON TEL
6726 TEL 3839‐000148‐13/RP‐500‐13M(T04),180‐220VAC 50/60 HZ,2KVA,PEARL KOGYO 500W/TEL
6727 TEL 3M80‐001939‐11 / TRANSFORMER BOX TRIAS TB TR1 T2 / TOKYO ELECTRON TEL
6728 TEL 3M80‐002223‐11, 3M87‐033870‐13/ TRAP SP ASSY, 300T, TRIAS 300 TI/TIN / / TEL
6729 Tel 4U Server W/Peak760VL2, VPM‐8100X‐000, DN3‐PCU‐1‐E V1.2.8, 43‐800115 *TQ833
6730 TEL 8S Carrier Transfer
6731 TEL ACT 12T‐TA*LV‐1310.750.50‐BI.EL.CB Fan Filter Unit (New), Absolute Filter II
6732 TEL Alpha 8 IK‐9X3‐212 Manifold Flange Plenum With Mounting Plate, Used
6733 TEL ALPHA 805C BOAT ELEVATOR
6734 TEL ALPHA 805‐C VERTICAL FURNACE PROCESS KIT
6735 TEL ALPHA 805C WAFER TRANSFER Z
6736 TEL ALPHA 805‐SC VERTICAL FURNACE PROCESS KIT
6737 TEL ALPHA 805‐SC VERTICAL FURNACE PROCESS KIT
6738 Tel Alpha 8S 200MM LPCVD Door w/ Boat Rotation, Used
6739 TEL CLEAN TRACK LHP UNIT / TOKYO ELECTRON TEL
6740 TEL CLEAN TRACK LHP UNIT / TOKYO ELECTRON TEL
6741 TEL CVD VERTICAL FURNACE PROCESS KIT ALPHA 805 SC
6742
TEL DRM / TOKYO ELECTRON 1D10‐102848‐13 / BAFFLE PLATE TEL DRM / TOKYO ELECTRON TEL 
1D10‐102848‐13
6743 TEL ELECTRON BOTTOM, P/C (85P‐ESC‐8J‐UP), REPAIRED IN AN ORIGINAL BOX
6744 TEL EPD ‐ SE2000 Advanced OES Endpoint System 
6745 TEL EPD ‐ SE2000 for Unity 2e/M ‐ Included COM Board TYB‐121 and COM  Cable
6746 TEL EPD  ‐ SE2000 Advanced OES Endpoint System ‐ Verity SD1024D
6747 TEL EPD  ‐  SE2000  ‐ Verity SD1024D
6748 TEL EXPEDIUS / RETICLE HANDLER ASSY / TOKYO ELECTRON TEL
6749 TEL EXPEDIUS TRANSFER / WAFER TRANSFER ASSY / TOKYO ELECTRON TEL
6750 TEL External Torch burnoff enclosure Assy For Alpha 8s Furnace
6751 TEL MB3S80‐000332‐11 ROTARY, DRIVE FEEDTHRU; 447‐21765‐00; C24707 52‐132058B
6752 TEL OYDK‐60‐095B‐064‐065 / ASSEMBLY, PCBS EXT CHEM#02, / TOKYO ELECTRON TEL
6753 TEL PCB Board CT‐1R81‐602755‐15/ TVB6006‐1/SPC
6754 TEL TOKYO ELECTRON 1105‐100361‐12 / PEDESTAL QUARTZ FINNED WITH SPACER TEL
6755 TEL Tokyo Electron 1885‐022352‐17 Electrode Bottom PC
6756 TEL TOKYO ELECTRON 2168‐000007‐11 /THYRISTOR CONTROL UNIT CU162U4Z3FA
6757 TEL Tokyo Electron 2985‐411180‐W6 Cool Plate Module ACT12 300mm 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 209 sales@semistarcorp.com
6758 TEL Tokyo Electron 2985‐423760‐W3 IFB Interface Block Cooling ACT12‐300 Used
6759 TEL Tokyo Electron 2985‐429208‐W4 300mm Sub Unit Adhesive Module Missing As‐Is
6760 TEL Tokyo Electron 2985‐429208‐W4 Adhesive Module Base ACT12‐300 300mm As‐Is
6761 TEL Tokyo Electron 2985‐445336‐W1 IFB Interface Block Cooling ACT12 200mm Used
6762 TEL Tokyo Electron 2985‐445336‐W1 IFB Interface Block Cooling ACT12 No Rack Used
6763 TEL Tokyo Electron 384 ADH Pin Stand Station 2985‐403464‐W1 ACT12 200mm Used
6764 TEL Tokyo Electron 3D05‐200136‐11 INSULATOR, BEL BTM 150DEG
6765 TEL Tokyo Electron 3D10‐100293‐V1 Upper Body Electrode New
6766 TEL Tokyo Electron 3D10‐100596‐13 Depo Shield UED DT‐40
6767 TEL Tokyo Electron 3D10‐100596‐13 Depo Shield UED DT‐40
6768 TEL Tokyo Electron 3D10‐101276‐V1 Shield Depo D3.0P35.6 W‐LB N Refurbished
6769 TEL Tokyo Electron 3D10‐150447‐V1 Depo Shield SCCM EXP New Surplus
6770 TEL Tokyo Electron 3D10‐150809‐V1 ESC Electrostatic Chuck BTM TC‐D NB New Spare
6771 TEL Tokyo Electron 3D10‐250834‐V1 CEL OX T10‐75‐C912 (COC‐N) New Surplus
6772 TEL Tokyo Electron 3M80‐000559‐11 AC Distribution System EP‐ACD‐01 / 67157
6773 TEL Tokyo Electron 3M87‐021476‐12 System Interlock Unit 01NUC‐0012‐0036
6774 TEL Tokyo Electron 3Z10‐100139‐12 PLATE, PIPE JACKET LOWER (RLSA) ‐ New
6775 TEL Tokyo Electron 3Z10‐100213‐12 PLATE, PIPE JACKET UPPER SLIDE ‐ New
6776 TEL Tokyo Electron 3Z10‐200535‐11 RING, CLAMP FR‐S HT
6777 TEL Tokyo Electron 5027‐678469‐11 WCPL/TRS Water Controlled Chill Plate New
6778 TEL Tokyo Electron 5085‐407036‐19 Process Block Robotics Arm PRA Lithius Used
6779 TEL Tokyo Electron 5085‐412389‐11 Scan Arm L&R DEV ASSY Lithius 
6780 TEL Tokyo Electron 5087‐402251‐17 CPHP General Chamber CPHG Type 1 Lithius Used
6781 TEL Tokyo Electron 5087‐402251‐17 CPHP General Chamber CPHG Type 2 Lithius Used
6782 TEL Tokyo Electron 5087‐403592‐14 Cup Washer Holding Stage (2) CWH Lithius Used
6783 TEL Tokyo Electron 5087‐403592‐14 Cup Washer Holding Stage (2) CWH Lithius Used
6784 TEL Tokyo Electron 5087‐403675‐12 Interface Block Robotic Arm Sub Lithius Spare
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 210 sales@semistarcorp.com

More Related Content

Similar to Semiconductor Equipment and Spare Parts 7

Perkin elmer-sputter-system
Perkin elmer-sputter-systemPerkin elmer-sputter-system
Perkin elmer-sputter-systemPerkin-Elmer
 
Perkin elmer-pe-2400-8l-spare parts
Perkin elmer-pe-2400-8l-spare partsPerkin elmer-pe-2400-8l-spare parts
Perkin elmer-pe-2400-8l-spare partsPerkin-Elmer
 
Perkin elmer-2400-8sa-manua
Perkin elmer-2400-8sa-manuaPerkin elmer-2400-8sa-manua
Perkin elmer-2400-8sa-manuaPerkin-Elmer
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Perkin elmer-pe-4480-spare-parts
Perkin elmer-pe-4480-spare-partsPerkin elmer-pe-4480-spare-parts
Perkin elmer-pe-4480-spare-partsPerkin-Elmer
 
Perkin elmer-pe-4400-spare-parts
Perkin elmer-pe-4400-spare-partsPerkin elmer-pe-4400-spare-parts
Perkin elmer-pe-4400-spare-partsPerkin-Elmer
 
Perkin elmer-sputter-deposition spare parts-cathodes
Perkin elmer-sputter-deposition spare parts-cathodesPerkin elmer-sputter-deposition spare parts-cathodes
Perkin elmer-sputter-deposition spare parts-cathodesPerkin-Elmer
 
Perkin elmer-8l-manual-sput
Perkin elmer-8l-manual-sputPerkin elmer-8l-manual-sput
Perkin elmer-8l-manual-sputPerkin-Elmer
 
Perkin elmer-type-parts
Perkin elmer-type-partsPerkin elmer-type-parts
Perkin elmer-type-partsPerkin-Elmer
 
Perkin elmer-pe-2400-8sa-se
Perkin elmer-pe-2400-8sa-sePerkin elmer-pe-2400-8sa-se
Perkin elmer-pe-2400-8sa-sePerkin-Elmer
 
Accusputter aw-4450-spare-partd
Accusputter aw-4450-spare-partdAccusputter aw-4450-spare-partd
Accusputter aw-4450-spare-partdPerkin-Elmer
 
Perkin elmer-4400-service
Perkin elmer-4400-servicePerkin elmer-4400-service
Perkin elmer-4400-servicePerkin-Elmer
 
Perkin elmer-pe-2400-8l-ser
Perkin elmer-pe-2400-8l-serPerkin elmer-pe-2400-8l-ser
Perkin elmer-pe-2400-8l-serPerkin-Elmer
 
Perkin elmer-pe-4410-spare-parts
Perkin elmer-pe-4410-spare-partsPerkin elmer-pe-4410-spare-parts
Perkin elmer-pe-4410-spare-partsPerkin-Elmer
 
Perkin elmer-4400-sputter-d
Perkin elmer-4400-sputter-dPerkin elmer-4400-sputter-d
Perkin elmer-4400-sputter-dPerkin-Elmer
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Perkin elmer-pe-4480-sputte
Perkin elmer-pe-4480-sputtePerkin elmer-pe-4480-sputte
Perkin elmer-pe-4480-sputtePerkin-Elmer
 

Similar to Semiconductor Equipment and Spare Parts 7 (18)

Perkin elmer-sputter-system
Perkin elmer-sputter-systemPerkin elmer-sputter-system
Perkin elmer-sputter-system
 
Perkin elmer-pe-2400-8l-spare parts
Perkin elmer-pe-2400-8l-spare partsPerkin elmer-pe-2400-8l-spare parts
Perkin elmer-pe-2400-8l-spare parts
 
Perkin elmer-2400-8sa-manua
Perkin elmer-2400-8sa-manuaPerkin elmer-2400-8sa-manua
Perkin elmer-2400-8sa-manua
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Perkin elmer-pe-4480-spare-parts
Perkin elmer-pe-4480-spare-partsPerkin elmer-pe-4480-spare-parts
Perkin elmer-pe-4480-spare-parts
 
Perkin elmer-pe-4400-spare-parts
Perkin elmer-pe-4400-spare-partsPerkin elmer-pe-4400-spare-parts
Perkin elmer-pe-4400-spare-parts
 
Perkin elmer-sputter-deposition spare parts-cathodes
Perkin elmer-sputter-deposition spare parts-cathodesPerkin elmer-sputter-deposition spare parts-cathodes
Perkin elmer-sputter-deposition spare parts-cathodes
 
Perkin elmer-8l-manual-sput
Perkin elmer-8l-manual-sputPerkin elmer-8l-manual-sput
Perkin elmer-8l-manual-sput
 
Perkin elmer-type-parts
Perkin elmer-type-partsPerkin elmer-type-parts
Perkin elmer-type-parts
 
Perkin elmer-pe-2400-8sa-se
Perkin elmer-pe-2400-8sa-sePerkin elmer-pe-2400-8sa-se
Perkin elmer-pe-2400-8sa-se
 
Accusputter aw-4450-spare-partd
Accusputter aw-4450-spare-partdAccusputter aw-4450-spare-partd
Accusputter aw-4450-spare-partd
 
Perkin elmer-4400-service
Perkin elmer-4400-servicePerkin elmer-4400-service
Perkin elmer-4400-service
 
Perkin elmer-pe-2400-8l-ser
Perkin elmer-pe-2400-8l-serPerkin elmer-pe-2400-8l-ser
Perkin elmer-pe-2400-8l-ser
 
Perkin elmer-pe-4410-spare-parts
Perkin elmer-pe-4410-spare-partsPerkin elmer-pe-4410-spare-parts
Perkin elmer-pe-4410-spare-parts
 
Perkin elmer-4400-sputter-d
Perkin elmer-4400-sputter-dPerkin elmer-4400-sputter-d
Perkin elmer-4400-sputter-d
 
Perkin elmer-4410
Perkin elmer-4410Perkin elmer-4410
Perkin elmer-4410
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Perkin elmer-pe-4480-sputte
Perkin elmer-pe-4480-sputtePerkin elmer-pe-4480-sputte
Perkin elmer-pe-4480-sputte
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 

Recently uploaded

Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxOnBoard
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhisoniya singh
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 

Recently uploaded (20)

Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptx
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 

Semiconductor Equipment and Spare Parts 7

  • 1. 5791 Pearl Kogyo System Computer 5792 Pegasus 2000 DSP Dual sided prober 5793 Penta Technology Model: PTP‐7V ‐ Parylene Coating System w/ Pump & Power Supply 5794 Perkin Elmer 16‐025 Heat Exchanger, Deionizer, 451852 5795 PERKIN ELMER 2400 SPUTTERING SYSTEM 5796 Perkin Elmer 2400. Looking for a swift sale, please make offer, motivated 5797 Perkin Elmer 2400‐8L. Looking for a swift sale, please make offer, motivated 5798 Perkin Elmer 4400 Sputtering System 5799 Perkin Elmer 4400, 3 target DC or RF magnetron sputtering system 5800 Perkin Elmer Lambda 14 UV/VIS Spectrometer 5801 Perkin Elmer PHI 670xi Scanning Auger Electron Microscope Nanoprobe System 5802 Perkin Elmer S2340‐0003‐2 Prealignment Stage 5803 PERKIN‐ELMER 2400 SPUTTERING SYSTEM 5804 Perkin‐elmer phi 15‐630 precision uhv vacuum 5 axis sample stage ‐ model 670 5805 Persys Technology External Torch PET‐986 Assy w/Torch Controller PIB‐987 5806 Peter Walters Company AC 1200 Double Sided Lapper. Looking for swift sale. 5807 Pfeiffer 109240‐A Leak Detector Vacuum Pump, Alcatel, 452375 5808 Pfeiffer ATH‐1300MT Turbo Pump, New by Provac Sales, Inc. 5809 Pfeiffer Balzers Turbopump Assembly, TPU‐062, QMA 430, TL 011, IKR02, 452693 5810 Pfeiffer HiPace 300P Turbo Pump with TC400‐E74, Rebuilt by Provac Sales, Inc. 5811 Pfeiffer HiPace 300P Vacuum Pump 5812 Pfeiffer PM C01 790 A HiPace 80 Turbomolecular Pump TC 110, PM P03 940 A, 452591 5813 PFEIFFER PM P02 469 A/ TURBO‐MOLECULAR PUMP W/ TCP 600 CONTROLLER AND CABLES 5814 Pfeiffer TMH 1601 PCHT Turbo Pump With DCU‐600 and Temp Controller with cables 5815 PFEIFFER TSH 071E /ECONOMY DRY VACUUM PUMPING STATION/ PFEIFFER 5816 Pfeiffer Turbo Molecular Pump HiPace 1200U with TC 1200 with warranty 5817 PFEIFFER Vacuum HiPace 1500 Turbo Pump DN 250 ISO‐F Flange w/ TC1200 PB + Valves 5818 Pfeiffer Vacuum TMU‐071P With TC‐600  Condition 5819 Pfeiffer Vacuum TPU 2200 U P C Turbo Pump with 14 day warranty 5820 Phase‐A‐Matic 15 HP CNC PAC‐15 Rotary Phase Converter / Voltage Stabilizer 5821 Phase‐A‐Matic 20 HP CNC PAC‐20 Rotary Phase Converter / Voltage Stabilizer 5822 Phase‐A‐Matic 25 HP CNC PAC‐25 Rotary Phase Converter / Voltage Stabilizer The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 181 sales@semistarcorp.com
  • 2. 5823 Phase‐A‐Matic 30 HP CNC PAC‐30 Rotary Phase Converter / Voltage Stabilizer 5824 Phase‐A‐Matic 30 HP CNC PAC‐30 Rotary Phase Converter / Voltage Stabilizer 5825 PHI Lamination press 600 Ton 5826 PHI Perkin Elmer Quantum 2000 ESCA Microprobe ***FINANCING*** xray sem Auger SEM 5827 Philips 9469‐70 PCB Solder Reflow Oven 5828 Philips Assembleon PA269904 LCS Shuttle Matrix Tray Feeder Changer 5829 Philips EPC8‐66‐2‐128 61‐0296‐36 i486‐based VMEbus CPU Board | for PG 1220 Rack 5830 Philips FEI XL40 ESEM Power Supply 4022 296 00004 4022 296 00013 4022 296 00053 5831 Philips Impulse 300 Opto‐Acoustic Film Thickness Mask & Wafer Inspection System 5832 Philips PD7100/00 PLM‐100 Photoluminescence Mapping Tool w/ Full 4 Mo Warranty 5833 Philips PLM‐100 Photoluminescence Mapping System / 532nm Doubled Yag /4 Mo.Wrty 5834 Phoenix analyzer x‐ray 120kva 300 watt high power ‐ castings / molds 5835 Photon Dynamics Flat Panel Inspection System FIS‐250 5836 Photonics Polychrome 2 Illumination Unit MG04 & Control Unit SA3 5837 Pick and Place Machine ‐ PCBA Assembly ‐ with feeders ‐ SMTMax QM3000 5838 Pick and Place Machine QM2000 5839 Pick and Place Machine QM2000 5840 Pick&Place Surface mount Laser Chip, Array, Detector and Epoxy Dispenser Machine 5841 PILine Controller C‐867.260, 110044706 5842 Pillarhouse Cadet Automatic Soldering 4 Pos. Rotary Solder Pot w Fluxing #A18B 5843 Plasma Cleaning, Plasma treatment system, plasma asher, plasma system 5844 Plasma Etch BT‐1 System Etcher Wafer Die Matrx Semi BT1 Cleaner Tester 5845 Plasma Etch Chamber for 24" Gen 2 glass 5846 Plasma Etch PE‐100 Series Plasma Etch; Compressor Included 5847 Plasma Etch PE‐25 Plasma Etcher, Plasma Etch, Plasma Cleaner, BRAND NEW, Made in USA 5848 Plasma Etch PE‐40HBH‐S016 / HIGH TEMPERATURE BELLOWS PUMP / NIPPON PILL 5849 Plasma Etch Wand Hand Held Atmospheric Plasma Cleaner Plasma Etcher 5850 Plasma Etcher, Plasma etching system, March plasma system, March CS‐170, RIE 5851 Plasma Science Plasma Surface Treatment System  PS‐500 AST Products 5852 PLASMA SCIENCES R.I.E. 200W 5853 Plasma Therm HFS‐3000D RF Generator Cabinet Included 5854 Plasma Therm RIE Etching System 5855 Plasma Therm Versaline RIE‐ Reactive Ion Etching System 5856 PLASMALAB uETCH ETCHING SYSTEM The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 182 sales@semistarcorp.com
  • 3. 5857 PlasmaQuest Process Etcher Chamber Series III 5858 Plasmatherm | 790 Lower Chamber 5859 Plasma‐Therm 2486 Reactive Ion Etcher 5860 Plasma‐Therm 386 Comuter System Controller #TQ276 5861 PLASMATHERM 700 RIE SYSTEM Reactive Ion Etcher 5862 Plasmatherm 790 MF Plasma RIE Reactive Ion Etch System5 5863 Plasma‐Therm 790 PECVD 5864 PLASMATHERM 790 RIE 5865 Plasmatherm 8.375" Diameter Complete ICP Electrode Assembly 5866 Plasma‐Therm Etch Chamber Unmarked 10.375" I.D. Working Spare 5867 Plasmatherm PECVD PT530 System 5868 PlasmaTherm PK‐2460 PE/RIE Reactive Ion Etcher System ‐ previously refurbished 5869 Plasmatherm Process Sequencer ‐ Z80 (DOS) computer 5870 PlasmaTherm RIE Computer and Software Retrofit / Upgrade (single chamber) 5871 PlasmaTherm RIE Computer and Software Retrofit for systems with Load Arm 5872 Plasmatherm RIE Etching System 5873 Plasmatherm SLR 720 RIE Etching System 5874 Plasma‐Therm SLR Series 770 ICP Dry Plasma Etcher for 3‐6" Wafers 5875 Plasmatherm SLR‐770 ICP Shuttle Lock ICP Inductively Coupled Plasma Etch9 5876 Plasmatherm Versaline VLR PECVD Deposition System 5877 Plasmatherm VLR ICP Module Endpoint Computer with cables 5878 Plasmatherm VLR ME II system, Power unit 5879 Plasma‐Therm Wafr/ Dep Wafer 2411 Cabinet 5880 PLASMOS SD‐2000‐LC 8"/200 mm Film Thickness Automatic Ellipsometer (Metrology) 5881 Plasmos SD‐4000 Ellipsometer 5882 Plating Rectifier 5883 Polycold Systems PFC 1100 HC Cryogenic Refrigeration Unit ‐ Tested 5884 Poly‐Flow S310 SVG Semiconductor Quartzware Wet Cleaner Air Products Versum 5885 Polytool Kobay SAWIN 300D Dicing Tool 5886 Portable Clean Room 5887 Portable Softwall Cleanroom 8.5'x 4.5'x 6.5' with HEPA Filter FFU Clear Curtains 5888 PORTABLE STAINLESS CLN ROOM TERRA UNIVERSAL EXC COND HEPA NEEDS BATTERY ST STL 5889 PORTER INSTRUMENT CO. MODEL # 270019800 TEOS / TMB / TMP CONTROLLER 5890 POSITIVE PRESSURE VACUUM FURNACE WITH DIFFUSION AND VACUUM PUMP 12" X15" ID CAP 5891 Power Plasma NPK‐200 NPG‐15KM RF Generator, 400kHz () 5892 Power Plasma NPRPC‐100G‐01 NPG‐10KM RF Generator Rack () 5893 PRATT & WHITNEY WOLVERINE CLUB CNC MILL The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 183 sales@semistarcorp.com
  • 4. 5894 PRAXAIR AMMONIA & SILANE MONITORS FOR GAS CABINET 5895 praxair surepurge valve control gas cabinet box manifold VMB8 semi monitor 5896 Precision 3‐Axis Robot Work Cell 17"x15"x3.5" Travel CNC Cell PVA Galil THK 5897 Precision Conformal Coater Coating Machine Valve&Automation PVA Works! Galil THK 5898 PRECISION ELECTRON ENERGY ANLAYZER PHI 15‐255G AND MUCH MORE 5899 PRECISIONFLOW TECHNOLOGIES 06‐01706 NANOPURGE GAS CABINET OXYGEN & ARGON GASES 5900 PRECISIONFLOW TECHNOLOGIES 06‐01707 NANOPURGE GAS CABINET 1 PANEL FORMING GAS 5901 Preco Cold Web Laminator/Laminating & Rewind Converting Module Machine 21" 5902 PRECO MODEL MTS 3024 AUTOMATIC ROLL TO ROLL LARGE AREA WEB SCREEN PRINTER 5903 Preco Web Lamination NIP Roll 24" w/ Gearmotor ValueTrue 6 Kollmorgen VT006‐004 5904 PRI 4000‐0016 A Atmospheric Single Arm Robot ** 5905 Prior Scientific H129V4 ProScan Stage Controller Microscope XY Stage 5906 Prior Scientific H129V4 ProScan Stage Controller, Microscope, XY Stage 5907 Pro Fab LCTS04 Controller MT4000‐100018 5908 Probelogic 30‐10700 Wafer Cantilever Probe Card S25PA REV4 New Surplus 5909 Probing Solutions 400 series 150mm manual prober 5910 Probing Solutions Inc. PSI 342‐PMI PHOTOMASK INSPECTION/REVIEW STATION 5911 Process Technology HCT 1084‐S‐G‐X Electric Instantaneous DI Water Heater 5912 Process Technology Tytan Water Heater ‐ 24kW ‐ 480Vac / 29A / 3‐phase 5913 Producer 0200‐39289(1) 0200‐39361 (3) Isolator TEOS pumping ring 200mm 5914 PRODUCER SE CHAMBER W//O TURBO PUMP / APPLIED MATERIALS AMAT 5915 Producer SE Full Robot Arm Set 5916 PRO‐FACE 2880037 / GRAPHIC PANEL MODEL INCL 2880048 MODULE 5917 Profilometer 3D Surface Profiler ‐ Profilometer ‐ Roughness Meter  5918 PROMATION TABLETOP G SERIES SOLDERING ROBOT (#2906) 5919 PROMETRIX TENCOR UV1050 TEAL ELECTRONICS POWER SUPPLY CONDITIONER 7AQ100R MTE 5920 Prometrix/KLA/Tencor LithoMap LM 25 Lithography Characterization System 5921 proton energy systems hogen hydrogen generator PESO1088G 5922 Pryor Pryormark 35 Floor Standing Roll Marking Machine 5923 PSI Sigma Probe Station w/ Leica Stereo Zoom 5 Microscope, Illuminator 5924 PSK 2KW / 2KW PSK,MATCHING BOX, AUTOMATCH 4002‐099‐0927 / PSK INC / ODYSSEY 5925 PUREX 8000/3000FC Part # 033011 Reflow fume extractor 2100 CFM The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 184 sales@semistarcorp.com
  • 5. 5926 Pusher Furnace 5927 PV Wafer ID Laser Scriber / Reader System ‐ IPESCAN005/IRIV03V00 5928 PVA 750 Conformal Coater Dispenser 5929 PVA Precision Valve 2400 2010 Inline Conformal Coater 4 axis coating machine 5930 PVA Tepla 300 Autoload PC Microwave Plasma 5931 PVA Tepla 300 Autoload PC Microwave Plasma Asher 5932 PVA Tepla Branson M4L Plasma Cleaning & Etching System 5933 PVA TePla Plasma Chamber M4L 5934 PVA TePla Plasma Pen Atmospheric High Density Plasma Cleaning / Etching System 5935 PVA TePla PlasmaPen V2.2US Atmospheric Plasma System PN: 300999 5936 PWS P5MS, Pacific Western Systems Wafer Prober Assy, Probe II, 20, Controller 5937 PWS P5MS, Pacific Western Systems Wafer Prober, Probe Controller II, 20,  5938 Q Corporation PCB Heavy Duty Tape and Real QMT Pro 1250 Accutape  5939 QC Optics D7000, Platter Inspection System, ULWD50, ULWD20, IC10, IC5 5940 Qcept 4206‐002‐01 200‐300mm Wafer Inspection System ChemetriQ‐3000 Used Untested 5941 QI Queensgate Instruments NS2300D Controller 4S288‐213‐1 NSR  5942 Q‐SUN XENON TEST CHAMBER XE‐3‐H 5943 Quad 4c 4000c pick and place PPM WINDOWS 7 UPGRADE 5944 Quad IVC Pick and Place Assembler 5945 Quad Speedline/Smtech AVX500 Screen Printer 5946 QUALMARK MODEL OVS‐2.5 ENVIRONMENTAL TEST CHAMBER 5947 QUANTUM R‐TC20 / MARKES TC‐20 MULTI TUBE CONDITIONER AND DRY PURGE UNIT / QUANTUM 5948 QuantumClean 500228213 Showerhead CVD XI (1270) Refurbished 5949 QUARTZ FINS/KUMKANG QUARTZ CO LTD 2105‐322957‐51 (79088HERAEUS) 5950 Quartz International 03MRK001 Semitool Quartz Element Tube New Surplus 5951 Queensgate Instruments NS2601/B Controller PCB Card Nikon NSR‐S620D  5952 Queensgate NS2300/A Position Sensor 4S587‐005 NSR‐S205C System  5953 Queensgate NS2300/A Position Sensor Unit Nikon 4S587‐005 NSR‐S307E DUV Used 5954 Queensgate NS2300/D Position Sensor Unit Nikon 4S288‐213 NSR‐S205C Working Spare 5955 Queensgate NS2300/D Position Sensor Unit Nikon 4S288‐213‐1 NSR‐S307E DUV Used 5956 Queensgate NS2303/A Position Sensor Unit Nikon 4S288‐271 NSR‐S307E DUV Used 5957 Quick Circuit 5000 PCB Milling Bundle *REDUCED PRICE* 5958 Quick Circuit 9000 PCB Prototyping Milling Machine T‐Tech 5959 Quintel Mask Aligner 86D06 5960 Quorum Emitech K550 Automatic Sputter Coater and Sputtering System The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 185 sales@semistarcorp.com
  • 6. 5961 QUORUM EMITECH K550 FULLY AUTOMATIC SPUTTER COATER SPUTTERING SYSTEM 5962 R&D Technical Services RD‐3 Vapor Phase Machine 5963 R&D Technical Services RD‐3‐S Vapor Phase Machine 5964 R&D Technical vapor phase reflow soldering oven RD 2 5965 R. HOWARD STRASBAUGH 6EC 5966 R. Howard Strasbaugh 6SR4 Wafer Grinding Dual Face Lapping Machine Polisher 5967 R. Howard Strasbaugh 6UR‐6 Polishing Machine 5968 Radiant Technology (RTC) M‐100 TableTop Reflow Infrared 4.5” Belt Furnace / Wrty 5969 RADIANT TECHNOLOGY RTC MODEL CU‐910X IR BELT FURNACE 1000C MAX TEMP 5970 Rainbow Technology Systems Panda Coater Phototool Coating & UV Curing Machine 5971 Randcastle Extrusion Systems RC‐025 Benchtop Single Screw Extruder 5972 Rasco TBW‐CD‐71 Compact Chamber * Semi Tool TBWCD71  5973 RD Automation M‐9A Flip Chip Die Bonder M9  5974 RECIF INC BPP8 / ASSEMBLY,BATCH TRANSFER REPLCMNT,RECIF / RECIF INC 5975 Recif SA ECUA00036 Wafer Sorter/Handler Computer/Controller Robot Controller 5976 RECIF Technologies SPPA40A40007 Wafer Handler Assembly Robot Arm assembly 5977 Recif VMT8 200mm 8" Vertical Mixed Wafer Transfer Sorter/Handler/Mapper 5978 REFLOW OVEN 6 zones  5979 Regenerative Desiccant air dryer/ Blower Purge 5980 Rehm VXS 3150 Type 634 Nitrogen Reflow Oven 5981 Reichert Jung PolyLite Z Stand Inspection Microscope 5982 Reichert‐Jung Ultrastar Ty: 300602 Inspection Microscope 5983 REsys Inc DI deionized water system recirculating recycler SMT PCB spotless wash 5984 REsys Titan 5 Reverse Osmosis Deionized Water System 10 GPM & Recycler 5985 REsys Ultra‐Loop PCB Water Recycling System with Sump Pump 5986 Reynolds Tech 3 ft. Stainless Steel Solvent Bench 5987 RF PLASMA PRODUCTS RF‐10 SF028 / RF‐10 POWER RF 208 VAC 15A 1000W 13.56 5988 RF PLASMA PRODUCTS RF25M RF Power Products 660‐093818‐002 Power Supply 3150048‐000  5989 RF Plasma Products, Inc. HFS 10,000D RFPP RF Plasma Generator 10KW 13.56Hz 5990 RF Power Products 7622729010 25000W RF Impedance Matching Network 5991 RF Services Inc RFS‐3011 RF Match, 232770‐01, LAM 553‐16430‐00 5992 Rhetech / Semitool Photo Resist Removal System 5993 RHK ultra high vacuum STM AFM SPM UHV atomic force scanning tunneling microscope The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 186 sales@semistarcorp.com
  • 7. 5994 RICOR 737A131A‐SPEC / PUMP W/K535 PS PN235C001A W/K535 CONTROLLER 235C102A / RICOR 5995 RICOR CRYO 737A505A / PUMP & HEATER LV VSEA UES INPUT 52+0.5 VDC 240W 45HZ / RICOR CRYO 5996 RIETSCHLE VLT 10 Process Vacuum 5997 Rigaku 422582 5998 RIGAKU DSMJ3‐057110‐A / MAGNETIC FUILD SEAL UNIT / RIGAKU 5999 Rigaku Thermo Plus Evo DCS8230 6000 Rigaku X‐RAY Machine HASKRIS Chiller Polaroid Land Adaptors 6001 Riken Keiki OX‐571A Oxygen Analyzer Reseller Lot of 38  6002 Risshi uEX‐2004 Chiller AS IS EX‐2004 6003 RKC INSTRUMENT INC LPD‐BOX (PHASE2) / LPD#2 OVERHEAT DETECTION 6004 ROBOTIC PROCESS Solder SYSTEMS 202 TL SOLDERABILITY TESTER meets MIL‐STD‐202 6005 Rofin Coherent MPS 3 Axis Laser Welder Starpulse Sp150 Under Power Low Hours 6006 Rofin Sinar Dilas DL015 1.5kw direct diode laser head weld, harden, braze, fiber 6007 ROFIN‐BAASEL, INC 0125‐0510 / CONTROLLER,6100 ROBOT,LASERSCRIBE / ROFIN‐BAASEL, INC 6008 ROFIN‐BAASEL, INC 101101408 / DIODE STACK, 320W, CPLT WITH FITTINGS/ ROFIN‐BAASEL, INC 6009 ROFIN‐BAASEL, INC. 0605‐30010 / POWER SUPPLY,BL‐292 / ROFIN‐BAASEL, INC. 6010 ROFIN‐SINAR 101115782 / POWER‐LINE 12E LASER MARKING RESONATOR MODULE / ROFIN‐SINAR 6011 RORZE 1032466 / CONTROLLER CONTROL BOX CURR‐0609‐2 / RORZE 6012 RORZE 3A‐FN4009210 / FINGER EFEM AUTO LOADER ROBOT  6013 RORZE 8VRSC130‐001‐001 / RORZE ACE 300 MM FI /RORZE 6014 RORZE RR700 / RORZE ROBOT, 1032465 / RORZE 6015 Rorze RR700L wafer handling robot 6016 RORZE RR701L0914‐204‐204‐1 DUAL ARM WAFER TRANSFER ROBOT 6017 Rorze RR711L1521‐3A3‐E11‐1 Dual Arm Robot 6018 Rorze RR711L1521‐3A3‐E11‐1 Robot Curr‐2241‐2 Controller (new surplus) 6019 RORZE RR713L1521‐3A3‐E11‐0 / DUAL ARM ROBOT/ RORZE 6020 RORZE RR732L DUAL BLADE MULTIAXIAL INTERPOLATING ROBOT 6021 Rorze RR741L1511‐411‐120‐1 Wafer Robot 6022 Rorze RZ251‐001‐001 Mattson Robot Assembly Aspen Mattson Aspen (New Surplus) 6023 ROYCE 550 DIE SHEAR SYSTEM 6024 Royce 550 Pull Test System 6025 Royce 552 Universal Bond Test System Tester w/20 Kg Die Shear Module STM‐20Kg 6026 Royce Instruments MP300 6027 Royce Instruments System 550 6028 Royce Pull & Shear Tester, 3 modules included, with operator table & manual The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 187 sales@semistarcorp.com
  • 8. 6029 RTC CU910X Infrared Belt Furnace ‐ 1000degrees C MAX 6030 RTC M100 Reflow Belt Furnace 6031 RTC MODEL RF‐304 BELT FURNACE, 3 ZONE, 4" WIDE BELT 6032 RTC MODEL SMD‐206 REFLOW FURNACE 6033 RTC Radiant Technology Sigma VI EFC‐615 Enhanced Forced Convection Reflow Oven 6034 RTC Radiant Technology Sigma VI EFC‐615 Enhanced Forced Convection Reflow Oven 6035 RTC S910 Furnace 9 Zone 6036 RTC SMD‐206 Reflow Furnace 6037 Rucker & Kolls 683A Semi‐Automatic Wafer Prober. 6 in. 6038 RUCKER AND KOLLS 683 (RUCKER AND KOLLS 680A MICROZOOM) 6039 Rudolph / August NSX 90 Inspection System 6040 RUDOLPH A19873‐001/TRANSFORMER BOX OFT REV A/RUDOLPH 6041 Rudolph August NSX‐95 Wafer Die and Bump Automated Defect Inspection System 7250 6042 Rudolph Auto El III 2, 4A Ellipsometer/FTM AutoEl 3 6043 Rudolph AutoEl IV‐NIR3 Film Thickness Ellipsometer Auto‐El 4 FTM 6044 Rudolph F30 300mm Wafer Mounter & Defect Inspection System Lintec Daikin Working 6045 RUDOLPH MetaPulse MPII MPIIIa EOM P23441 and P23440 Pump Probe 6046 RUDOLPH P24480‐002 / COMPUTER DUAL PROCESSOR KONTRON / RUDOLPH 6047 Rudolph Research AutoEL SS291 Automatic Ellipsometer 6048 RUDOLPH RESEARCH CORP. A16044 / ASSY UV DATA ACQUISITION CARD / RUDOLPH RESEARCH  CORP. 6049 RUDOLPH RESEARCH CORP. A16108‐C / ASSEMBLY MP MAIN DETECTOR BOARD / RUDOLPH  RESEARCH CORP. 6050 RUDOLPH RESEARCH CORP. A16963 / ASSY MEASUREMENT PROCESSOR / RUDOLPH RESEARCH  CORP. 6051 Rudolph Technologies AutoEL Automatic Ellipsometer Model 2.4A. SER 7415. VINTAGE 6052 RVSI VANGUARD VAi 6000 BALL PLACEMENT SYSTEM MODEL 6000 W/ ACUITY CM4000 CAMERA 6053 S&C Electric 4145‐9 Data Interface for 312kVA Bridge PCB 005‐145‐6  6054 S&K Vapor Dryer 6055 SAFC Hitech Enabling Technology/ASM/Novellus ALD Ampoule/Bubbler 6056 Saint‐Gobain Norton 3413899R, 311504‐N, Furnace Insert, Silicon Carbide. 6057 SAKI (AOI) Automated Optical Inspection Machine. 6058 Samco UV‐1 UV Dry Cleaner 30A2527 UV Ozone Cleaner w/ Ozone Killer 6059 SAMSUNG AMERICA INC S‐2192 / ELECTRODE 14 RING 1610 6060 Samsung CP Feeders, 8x2mm, 8x4mm, 16mm, 24mm, 32mm, 44mm & 56mm Feeders 6061 Samsung CP40CV Pick and Place Machine 6062 Samsung CP40CV Pick and Place Machine High Speed SMT Placement, REFURBED machine 6063 Samsung Dip Fluxer Feeder The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 188 sales@semistarcorp.com
  • 9. 6064 Samsung Hanwha SM482 2014 Fine pitch pick and place, 0201 capable 6065 SAMSUNG INDUSTRIAL PC, VOYAGER PBP08P3400 INTEL CELERON 2GH L623A829, 512MB DDR 6066 SAMSUNG MODEL CP45FV ‐ NEO PICK AND PLACE MACHINE FOR SMT / PCB ASSEMBLY 6067 SAMSUNG MODEL CP45FV ‐ NEO PICK AND PLACE MACHINE FOR SMT / PCB ASSEMBLY 6068 samsung SWB700 Bonder 6069 SAMSUNG TECHWIN CP45FV NEO PICK‐N‐PLACE_S/N CM‐2231‐GA__FIRST COME FIRST SERVED~ 6070 Sanki Technos CR‐712T‐A Wafer Transfer Clean Robot Hitachi Lot of 3 As‐Is 6071 Sanki Technos TR‐3108HR Wafer Transfer Robot + Horiba Jobin XYZ 300 Ellipsometer 6072 SANTA CLARA (SCP) Wet station 6073 SANTA CLARA (SCP) Wet station 6074 Sargent Welch Turbotorr Turbo Molecular Pump Cat 3106S 6075 Satis 150 Vacuum Deposition System 6076 SBS technologies Bit3 board kit 85853638 and 85851328 w/fiber optic cable 6077 SBS Technologies DCPMC64 CompactPCI Conduction‐Cooled Dual 64‐Bit PMC Carrier 6078 Schlumberger BLU 100 184 Automated BIB Burn‐in Board Loader & Unloader Sys  6079 SCHLUMBERGER CREDENCE LTX TEST HEAD PCB 494000850 77911544 IS90EF2CO5421 6080 Schmid Conveyor Oven Model 4k14‐62C26‐4A, 4 Zone Digital Controllers (reflow?) 6081 SCHNEIDER & MARQUARD LTCC [low temp co‐fired ceramic] High Speed Punch 6082 Schneider & Marquard SK‐5705 Hole Punching Machine 6083 Schneider E‐Technik NHRR 10kw ‐10kv 1A switching electron beam power supply 6084 SCHUMACHER 1463‐0003E/F/D/A / MODEL: ATCS‐15 TLC / SCHUMACHER 6085 SCHUMACHER 1491‐1023 AUTOMATIC REFILL CONTROLLER 6086 Schumacher ChemGuard CG100 Cabinet formally used for TEB PT# 46554 6087 Schumacher ChemGuard CG100 Cabinet formally used for TEPO PT# 46554 6088 SCHUMACHER V MDOT 1483‐0401A Vapor Control System Model: VMDTEOS AVP 6089 SCHUMACHER V MDOT 1483‐6002A Vapor Control System Model: V‐MDOT H.F 6090 SCHUMACHER V MDOT 1483‐6004A Vapor Control System Model: V‐MDOT H.F 6091 SCHUMACHER VMDTEOS 1483‐2201E 6092 Sciencescope X‐SCOPE 1800 X‐Ray Inspection System 6093 SCIENTIFIC INSTRUMENT CO SHORT PATH THERMAL DESORPTION SAMPLING OVEN 6094 Scientific Sealing Technology PF2400 /SST PF2400 Vacuum Sealing Furnace The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 189 sales@semistarcorp.com
  • 10. 6095 Scientific Sealing Technology PF2400 /SST PF2400 Vacuum Sealing Furnace / wrty 6096 SCP 4' WET STATION 6097 SCREEN SPE USA LLC 5‐39‐06701/VACUUM PUMP (B730) NASH_ELMO 10004762 010/SCREEN SPE  USA LLC 6098 SCREEN SPE USA LLC 6‐A6‐F0033 / SPINDLE UNIT MPC 10045718‐1  6099 SCREEN SPE USA/DNS Electronics 5‐F1‐D2949‐01 WTR CHUCK 6100 SCREEN SPEUSALLC/ORION 5‐39‐15601/THERMOCONTROLLER POWER (MAIN) ETM832A‐DNF‐L‐G2/ 6101 SCS INSRUMENTS IONOGRAPH 500M LP SPECIALTY COATING SYSTEM TESTER (#1742) 6102 SCS Precisioncoat Precision Lab Coater Dispenser 6103 SCT/CHA MARK 50 EBEAM SYSTEM WITH CRYO 6104 SDC 2000 HCL Automated 3 Gas cabinet dual scales 6105 SDI SPV Measuring Station 1010 from SemiConductor Diagnostics, Inc. 6106 SDL 82‐00048 Fiber Laser Industrial Laser Systems, power output: 9.0W cw, power 6107 SEC 4000 EUTECTIC BONDER 6108 SEC 4450 HOT‐SHOT HOT GAS BONDER 6109 Seica Firefly SC 002 6110 Seica S20 Flying Probe Tester 6111 SEICA S20 Flying probe tester, 4 top probe system working unit 6112 Seiko Epson A168076 Seiko Epson HM‐3500 High Speed IC Handler 6113 Seiko Epson A168076 Seiko Epson HM‐3500 High Speed IC Handler 6114 Seiko Seiki SCU‐1600 Turbo Pump Controller, Factory Rebuilt, by Provac Sales 6115 Seiko Seiki SCU‐H1000C Turbomolecular Pump Control Unit STP‐H1000C Turbo Used 6116 SEIKO SEIKI SCU‐H1301C1 / TURBO PUMP CONTROLLER, STP‐H1301C1 / SEIKO SEIKI 6117 Seiko Seiki SCU‐H600C1 Turbo Pump Controller, Factory Rebuilt, by Provac Sales 6118 Seiko Seiki STP ‐ H300C Turbo Pump 6119 SEIKO SEIKI STP‐600 Turbomolecular Pump 6120 SEIKO SEIKI STP‐A2203W1‐U / TURBO PUMP A2203 / SEIKO SEIKI 6121 SEIKO SEIKI STP‐H1301L1B / TURBO PUMP 1D85‐090320‐TM / SEIKO SEIKI 6122 Seiko Seiki STP‐H200C Turbo Pump Control Unit SCU Edwards Turbomolecular Vacuum 6123 Seiko Seiki STP‐iX455C Turbo Pump with Controller, Power Supply, & Display, New 6124 Seiko‐Epson NS‐ATC Manual IC BGA Chip Hot/Cold Temperature Handler ‐40 to +175°C 6125 SELA EM2 Sample Preparation System. S/N: 5EM0501, Volts 110, Amps 8  6126 SELA/Camtek MC 500 Die/Wafer Microcleaver/Micro‐Cleaver Cleaving System MC500 6127 Selective Solder Machine SS‐600‐i1, New Selective Soldering System, Lead Free The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 190 sales@semistarcorp.com
  • 11. 6128 Semco ESC (Electrostactic chuck) ‐Plasmatherm VLR ICP system ‐ 6" diameter wafer 6129 Semi Group PECVD CVD 1000 MPB Plasma Chemical Vapor Deposition System 1000MPB 6130 Semicaps STM32 Sample Thickness Measurement 6131 Semiconductor Diagnostics SDI 210, 210E‐SPV, FAST, Wafer Measurement, 423274 6132 Semiconductor Diagnostics SPV Station 1010 Cybeq 6100 Robot EG&G 197 6133 Semiconductor Laser Flux and Organick Free Reflo/Soldering System 6134 semiconductor wafer chuck 6135 SEMICORE / MRC SEC‐643 SC643 RF Sputter Coater Sputtering System 6136 Semics Opus 2 Wafer Prober 6137 Semics Opus II Wafer Prober Station 12" Chuck 6138 SemiFab Remote Air Handling Module Handler RAM 1000 cfm Environmental Control 6139 SemiGas Gas Cabinet Replacement Panels ‐ Fully Reconditioned and Warrantied 6140 SemiLab Model WT‐85 Wafer LifeTime Scanner 6141 Semilab SCA‐2500 XP Surface Charge Analyzer ‐ damaged 6142 Semilab WT‐2000PVN Multifunction Wafer Mapping Tool5 6143 SEMITEST EPIMET 2 EPI LAYER RESISTIVITY TESTER TOOL 2000 VINTAGE 6144 Semitool (AMAT) Raider Lift‐Rotate Assembly with ECD 6145 Semitool / AMAT Raider Bulk Power Supply (Model #: 900C0337‐501) 6146 Semitool / STI / Sitek, Dual Stack SRD (Spin Rinse Dryer), with controllers 6147 Semitool | 16761‐505, Liquid Level Board 4T4S 6148 Semitool | 16761‐509, Liquid Level Board 6149 Semitool | 17410‐05, SST Motor, 1/2 HP, Brushless, 120VAC, 60in Leads 6150 Semitool | 200T0118‐01, Drive Head Assembly, 300mm 6151 Semitool | 73080‐01, Heater Immersion, 220V, 4.6kW 6152 Semitool | 810R0011‐01, 6in/150mm Teflon Clamshell, BAL 0‐25 6153 Semitool | 810R0011‐01, 6in/150mm Teflon Clamshell, BAL 20‐25 6154 Semitool | LT02182, 3‐Axis Controller 6155 SEMITOOL 120U0039‐01 / DOOR PLUG SAT CPC (STI04 NOE) / SEMITOOL 6156 SEMITOOL 120U0040‐01 / DOOR PLUG SAT SRD (STI04 NOE) / SEMITOOL 6157 SEMITOOL 270 SRD WITH 6" ROTOR 6158 Semitool 440S SRD / Spin Rinser Dryer/ R&D Systems / Full Warranty 6159 Semitool 470S spin rinse dryer 6160 Semitool 470S‐5‐1‐E‐ML SRD Spin Rinse Dryer 6161 Semitool 480S SRD / Spin Rinse Dryer /Refurbished /4 mo wrty / 200mm wafers/Mint 6162 SEMITOOL 900C0336‐501 / PLATING POWER SUPPLY 4 ANODE CONFIGURATION RAIDER / SEMITOOL 6163 SEMITOOL 900C0337‐501 / RAIDER BULK POWER SUPPLY CFD4 REV 2 / SEMITOOL 6164 SEMITOOL 900C0337‐501 SEMITOOL BULK POWER SUPPLY CFD4 REV‐2 6165 SEMITOOL 900T0162‐501 / LT TANK CONTROLLER BLOCK AND PERSONALITY MODULE / SEMITOOL The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 191 sales@semistarcorp.com
  • 12. 6166 SEMITOOL A192‐81M‐0215 / ROTOR ASY SEMITOOL SRD 8" ROTOR 200MM / SEMITOOL 6167 SEMITOOL ALPHA TOOL 6168 Semitool LT‐210 / Equinox Lift‐Rotate Assembly with ECD 6169 SEMITOOL Mini RAIDER TOOL 6170 Semitool Paragon Cu/Au Plating System 6171 Semitool Paragon ECD Plating System 6172 SEMITOOL PFA BOWL ASSEMBLY T239235 6173 Semitool RAIDER 300mm Drive Head Motor (AMAT / Semitool #: 220T0073‐100) 6174 SEMITOOL RHETECH SRD / Spin Rinser Dryer R&D Systems Double stack 200 mm WAFER 6175 SEMITOOL RTA COMPUTER MODULE 900T05019 90005‐56 6176 SEMITOOL SAT103‐10 / SEMITOOL ‐ TANK ASSEMBLY 1 DOME 208V / SEMITOOL 6177 Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6", 402322 6178 Semitool ST‐860 Dual Stack Spin Rinser Dryer (SRD) w/3" PA‐82M Rotors 6179 Semitool STI  ST‐860 100mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working 6180 Semitool STI  ST‐870 125mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working 6181 Semitool STI  ST‐870 125mm Wafer Dual Stack SRD Spin Rinse Dryer Tested Working 6182 Semitool STI ST‐470 SRD, Spin Rinser Dryer With Controller, Stand Alone 6183 Semitool Swing Arm Motor (P/N: T61105‐56) ‐‐ AMAT, Allied Motion, Emoteq 6184 Semitool WST 406‐MG 6185 Semitool, 1‐Phase, SRD, Spinner Rinser Dryer, 4", 416296 6186 SEMIX INCORPORATED 303FN‐167743 / RR304 SIC FINGER END EFFECTOR  6187 SEMVision cX Defect Review System Interface, Stand Alone, Analysis, Inspection 6188 SensArray Corporation ProcessProbe Instrumented Wafers 1501A‐5‐0189 6189 SensArray KLA 1840A‐12‐5008A 12" Process Probe Instrumented Wafer RTD/TC 300mm 6190 Sensata IQ Phase Qinex Temperature Thermal Forcing System Calibrated ‐55c/ 250c 6191 Sensor Pelec 6300756111 6192 Sentech SE400 adv Laser Ellipsometer System, w/Cart, Computer, Monitor, SW 6193 SEREN R601 RF Generator Power Supply ‐‐‐‐‐‐‐‐ NEW‐‐‐‐‐‐ 6194 Seren TERA R601 600W RF Generator Plasma Power Supply 9600610026 13.56MHz March 6195 SEZ 2022461‐00 Ceramic End Effector VAC Flip 8", 12" Std 6196 SFI 7" Ser 111 Tungsten Sputtering Target 6197 SFI 9" Ser 111 Tantalum Sputtering Target by Tosho NEW 6198 sfs6100 / sfs5500 laser working condition The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 192 sales@semistarcorp.com
  • 13. 6199 sfs6220 / sfs6200 Scanner Assy 6200 SGL CARBON LLC 712353 KIT BARREL SUSCEPTOR 150MM New Surplus 6201 SHAREMATE SMART PCB ROUTER 6202 SHARON VACUUM EVAPORATOR (LONG THROW ELECTRON BEAM) 6203 SHARON VACUUM EVAPORATOR (LONG THROW ELECTRON BEAM) 6204 Sharon Vacuum Wafer Bonder ‐ Configured for (12) 4" Wafers 6205 Sheldon 1450 Lab Oven 6206 SHIMADZU CORPORATION TURBO PUMP TMP3203LMC‐K1 6207 SHIMADZU MOLECULAR TURBO PUMP TMP‐303LME 6208 SHIMADZU PRECISION INC 262‐75561 / TMP POWER UNIT EI‐202MA 6209 SHIMADZU TMP‐2001‐LME TURBO MOLECULAR PUMP; HITACHI 1‐810974‐N/R 6210 SHIMADZU TMP‐3203LMC‐A1 / TURBO MOLECULAR PUMP 21KRPM / SHIMADZU 6211 SHIMADZU TMP‐3203LMEC / TURBO MOLECULAR PUMP 21000RPM / SHIMADZU 6212 SHIMADZU TMP‐3403LMC‐T4 / TURBO MOLECULAR PUMP / SHIMADZU 6213 Shimadzu TMP‐3403LMC‐T4 Turbo Molecular Pump with Controller, Cables, Used Pull 6214 Shimadzu TMP‐3403LMEC Turbo Pump, Offline Working Needs Rebuild, by Provac Sales 6215 Shimadzu TMP‐3403LMTC Turbo Molecular Pump Set w/ Control EI‐D3403MT & Cables 6216 SHIMADZU TURBO MOLECULAR PUMP 1000LK 6217 SHIMADZU TURBO MOLECULAR PUMP TMP‐280L 6218 SHIMADZU TURBO MOLECULAR PUMP TMP‐3403LMC‐T4 ES3D80‐0094811K11 6219 SHIMPO FGS‐50H Hand Wheel Test Stand DFS‐100R Digital force Gauge Mitutoyo 6220 Shinkawa SUS‐45 45° Feed Wedge Wire Bonder 6221 Shinko 3D80-050107-V1 300mm Wafer Prealigner SCE9210005 6222 Shinko 3D80‐050107‐V1 300mm Wafer Prealigner SCE92100050 TEL T‐3044SS Cut Cables 6223 Shinko 3D80‐050107‐V1 300mm Wafer Prealigner SCE92100050 TEL Telius Working 6224 Shinko 3M80‐002575‐11 300mm Load Port SELOP12F25‐2530 TEL Trias TB SPA Working 6225 Shinko BX80‐0001‐40‐V1 LM‐ARM‐CONT(CE) Robot Controller SCE92300107 TEL Telius 6226 Shinko BX80‐070974‐11 300mm Wafer Prealigner SBX92101867 Trias TB SPA Working 6227 Shinko SELOP12F25‐S6K0003 Foup Load Port Smart SELOP VI KLA Tencor *new surplus* 6228 Shrader Scientific R‐3102 E‐X410 3 cu. ft.~ RF Sputtering Vacuum Chamber 6229 SiC Process Tube 6230 Siemens 00335980S01 Collect & Place Head w/ 00344487‐02 PC Board & Nozzles The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 193 sales@semistarcorp.com
  • 14. 6231 Siemens Feeder Calibration Unit 6232 Siemens Matrix Tray Unit 6233 Siemens Sicomp IPC FI20 PLC Controller 6234 Siemens Siplace 80 S20 FS 02 SMT Surface Mount Machine 6235 SiGen MeV LINAC Linear Accelerator Buckley Magnets Cryo‐Plex 10 Vac Pump 6236 Sigma Instruments SID‐142 Multi Channel Thin Film Deposition Controller 6237 Sigma Systems M30M Dual Temperature Chamber w/ Model C4 Programmable Controller 6238 Sigmameltec RTS‐500 CDL Dispense Cart  6239 Sigmameltec RTS‐500 Linear Developer Dispenser SGM‐01U312C SGMP‐01U312 Used 6240 Sigmameltec RTS‐500 Linear Developer Dispenser SGM‐01U312C SGMP‐01U312 Used 6241 Signatone 12 inch Probe Station Prober Cascade Microtech RF Probe 1 YR Warranty 6242 Signatone 8 inch Prober for DC and TD Refurbishd 1 YEAR Warranty & Mitutoyo FS70 6243 Signatone CM170‐6 CheckMate Wafer Prober Probe Station w/ 6" Chuck 6244 Signatone CM200 prober,8" Refurbuished 1 YEAR Warranty Mitutoyo with Polarizer 6245 SIGNATONE CM312 6246 Signatone CM400 CheckMate Wafer Prober Probe Station w/ 8" Vacuum Chuck 6247 Signatone Multiprobe MP1 Atomic Force Prober System (AFP) 6248 Signatone Probe Station S‐1160 W/ Newport Vibration Table VH3660W‐OPT 6249 Signatone S‐1160A‐5 Probe Station 6250 Signatone S‐250‐6 Submicron 6‐inch Manual Analytical Prober5 6251 Signatone Smart Semi‐Automatic Probe Station S‐4856‐570ESA 6252 SIGNATONE SYS‐301 / SIGNATONE MANUAL PROBE / SIGNATONE 6253 Sikama Falcon 5 x 2 Reflow Belt Furnace Two [2] Zone S/N: 83‐49‐044 6254 Sikama Falcon 5 x 2 Reflow Furnace 6255 SIKAMA FALCON 5C NITROGEN SOLDER REFLOW FURNACE SN 08‐11‐186 6256 Sikama Falcon 5C Reflow Belt Furnace S/N: 01‐32‐132 3 Ph 4 Wire 50/60 Hz 208V 6257 Sikama Falcon 5C Reflow Belt Furnace S/N: 08‐11‐186 Single Phase 6258 Sikama Falcon 5C Reflow Belt Furnace S/N: 99‐12‐070 Single Phase 6259 SIKAMA FALCON 5G SOLDER REFLOW FURNACE 6260 SIKAMA FALCON 5x5 Reflow Belt Furnace No N2 cover S/N:  88‐34‐030 6261 Sikama Falcon 8x3 Reflow Belt Furnace S/N: 87‐06‐039 6262 Sikama Falcon 8x4 Reflow Belt Furnace 4 Zone    420ºC S/N: 95‐49‐190 6263 Sikama Falcon 8x4C Reflow Belt Furnace S/N: 96‐40‐199 6264 SIKAMA FALCON UP2000 ULTRA PROFILE 2000 Reflow Furnace 6265 SIKAMA FALCON UP2000 ULTRA PROFILE 2000 REFLOW FURNACE 6266 SIKAMA FALCON 8 Reflow Belt Furnace S/N:  88‐51‐063 6267 SIKAMA International Falcon 1200 Up to 420°C Reflow Furnace The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 194 sales@semistarcorp.com
  • 15. 6268 SIKAMA Reflow 8 x10C Wafer Reflow Furnace 6269 SIKAMA Reflow 8x10C Wafer Reflow Furnace, 8" Wide, 10 Zones, Nitrogen Capable 6270 Sikama Ultra profile 2000 reflow oven 6271 Silicon Valley Group SVG 88 Dual Coater Track # 1 / Cybor Photoresist Pumps 6272 Silicon Valley Group SVG 88 Dual Coater Track #2 / Photoresist Pumps 6273 Simco Ion Systems 280A Charged Plate Monitor 6274 Simplimatic 2171 4 person slide line progressive assembly station flat belt conv 6275 Simplimatic 3130L Magazine Loader (C150901) 6276 Simplimatic 8010 Conveyor 6277 Simplimatic Automation Cimtrak PCB 22" Conveyor Model 3011 6278 Simplimatic Automation Cimtrak PCB Bare Board Stacker Model: 3232 6279 Simplimatic Automation Cimtrak PCB Conveyor 22" Model 3011 6280 Simplimatic Automation Cimtrak PCB Conveyor 48" Model 2010 6281 Simplimatic Automation Cimtrak PCB Destacker, Inverter, and Stacker SET 6282 Simplimatic Automation Cimtrak PCB Laser Etch Marker Line 6283 Simplimatic Cimtrak 2171 4 person slide line progressive assembly station flat b 6284 Simplimatic CIMTRAK 3130L Multi Magazine loader (end of line) ‐ 04‐2015 #M349160 6285 Simplimatic CIMTRAK 3130U Multi Magazine unloader (front of line) ‐ 01/2012 #M26 6286 SIMPLIMATIC CIMTRAK 3192U & 3192L Magazine loader / unloader set 6287 Simplimatic Cimtrak PCB Board Inverter / PCB Flip Conveyor Model 3050 6288 Simplimatic Cimtrak PCB Dual Lane Inspection Workstation Conveyor 48" Model 3034 6289 Simplimatic Cimtrak PCB Inspection Workstation Conveyor 36" Model 3031 6290 Simplimatic Cimtrak Servo Side Shuttle Dual Lane Conveyor Model# 3070 6291 SINCHOON FT3301W / SINCHOON TURBO MOLECULAR PUMP / MITSUBISHI WITH VAT 65048‐JH52‐ AEK2 6292 SINFONIA SSM‐2075BGMS‐C SUMITOMO CYCLO DRIVE CNVX‐4105‐LB‐11 WITH SHINKO SS‐SERV 6293 Sinfonia TSBX92303986‐2 Robot Controller LM‐ARM‐CONT Copper Exposed Working 6294 SinGen Chamber, 200MM Applied Materials 6295 Single Track Coater SVG‐8100 used, complete 6296 SINTON Instruments WAFER TEST System w/ EXTRAS 6297 SINTON TECHNOLOGIES WCT‐120/ SUNS‐VOC WAFER LIFETIME TEST System w/ EXTRAS 6298 SIS‐212 Heavy Duty Softwall Cleanroom. Modular Cleanroom. 18 X 18 6299 SIS‐212 Portable Softwall Cleanrooms On Casters w/ Hepa Filters USED LOT (7847)R 6300 SLEE Glovebox w/ Metal Can Projection Welder Hermetic Sealer 6301 Slee Vacuum Oven Linear 4Port Glovebox MC Hanson Seam Sealer Welder Can Welding The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 195 sales@semistarcorp.com
  • 16. 6302 Slit Valve/Wide Body Chamber/ Varian? VAT 6303 Sloan Dektak 3030 Surface Profiler Profiling Measurement Profilometer 6304 Sloan Dektak 3030 Surface Texture Analysis System / Profiler (#2774) 6305 SMC Chiller SMC INR‐496‐001D Water cooled. Certified with 90 days warranty. 6306 SMC Dual Chiller INR‐341‐42A Chiller‐Heat Exchanger 6307 SMC HBR4007Z‐X003‐ DAJ00037 Thermo Chiller 6308 SMC High Vacuum Gate Valve 6309 SMC HRZ010‐W2S‐Y Thermo Chiller 6310 SMC HRZ010‐WS Thermo Chiller (new surplus) 6311 SMC INR‐130400604 / SCAN‐HEAD, GALVO RS.S.10‐3 532M HEAD / ROFIN‐SINAR 6312 SMC INR‐244‐265A‐3004 / TEMP CONTROLLER UNIT (THERMO CONTROLLER) / SMC 6313 SMC INR‐244‐424 / CONTROLLER, COOL PLATE TEMP CONTROLLER / DNS 6314 SMC INR‐341‐57B‐X101 Dual Chiller 6315 SMC INR‐496‐003D / THERMO CHILLER REV.1 / SMC 6316 SMC INR‐496‐003D Thermo Chiller (AMAT PN: 0190‐14649) 6317 SMC INR‐496‐003D water cooled chiller, Excellent condition with warranty. 6318 SMC INR‐497‐022A‐X004 / SMC DUAL CHANNEL CHILLER / SMC 6319 SMC INR‐498‐003B Chiller, heat exchanger. Refurbished. Certified with warranty. 6320 SMC INR‐498‐003B Chiller, heat exchanger. Refurbished. Certified with warranty. 6321 SMC INR‐498‐003B‐X0 / CHILLER3 PHASE 3 WIRE G LINE 20AMP 10KA / SMC 6322 SMC INR‐498‐003D Thermo Chiller Rev. 1, 3 Phase ‐ Used 6323 SMC INR‐498‐012D‐X007 THERMO CHILLER, HEAT EXCHANGER, NEW WITH 6 MONTHS WARRANTY 6324 SMC INR‐498‐012D‐X007 THERMO CHILLER, HEAT EXCHANGER, TESTED WITH 90 DAYS WARR. 6325 SMC INR‐498‐016B / THERMO CHILLER / SMC 6326 SMC INR‐498‐016C Thermo Chiller w/ Cable AMAT PN: 0150‐24847 Rev. 02 6327 SMC INR‐499‐201 / SMC DUAL CHANNEL CHILLER / SMC 6328 SMC INR‐499‐201 / THERMO CHILLER / SMC 6329 SMC INR‐ADE Series 350 Robot PN: 353‐071 (‐0071) Arm 022256‐02, ASM PN: 78‐115406A05 6330 SMC INR‐Adeon Mass PRS 3000 Solder Paste Recycling System PCB SMT PC Board 6331 SMC INR‐Advanced Energy MDX‐10K Delta Slave DC Power Supply PN: 3152104‐008 MRC 10kW 6332 SMC INR‐Agilent Varian Turbo V301 Navigator Turbo Molecular Vacuum Pump, NIB 6333 SMC INR‐alcatel adixen ATH 500M turbo pump turbomolecular vacuum pump UHV iso100 6334 SMC INR‐Amat 0020‐34455 Adaptor Top The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 196 sales@semistarcorp.com
  • 17. 6335 SMC INR‐AMAT Applied Materials 0240‐17564 Kit, Platen Pulley Retrofit CMP Reflexion 6336 SMC INR‐AMAT/Applied Materials PN: 0010‐10132 150 mm/6" P21 Susceptor Assembly P5000 6337 SMC INR‐Angstrom Sciences Onyx Intevac Sputtering RM PVD Magnet Assembly 6338 SMC INR‐Applied Materials 0240‐52897 Rev 2 Kit Indexer DRPII Endura 2 SWLL Warranty 6339 SMC INR‐Applied Materials 0242‐43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM 6340 SMC INR‐Applied Materials 0242‐45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty 6341 SMC INR‐Applied Materials 0242‐45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL 6342 SMC INR‐Applied Materials AMAT‐0 P‐5000/P5000 DSAD ILD Heat Exchanger 6343 SMC INR‐Applied Materials/AMAT 0020‐70275 Faceplate, 300mm 6344 SMC INR‐Applied Materials/AMAT 0040‐53688 Gas Box *NO BLUE BOX!* 6345 SMC INR‐Applied Materials/AMAT 0041‐49935 REV 01 Faceplate, Coated, Direct Cooled 6346 SMC INR‐Applied Materials/AMAT 0200‐16115 Isolator, Lid, Sequoia, 300mm 6347 SMC INR‐Applied Materials/AMAT PN: 0010‐00171 Gate Valve Actuator Assembly 6348 SMC INR‐ASM 78‐107228A12 300mm Universal Load Port Asyst Isoport PN: 9701‐1256‐01 S3 6349 SMC INR‐ASM A600/A 600 OXI, STM, LPC Boat Elevator 3 Chambers (Chamber) Vertical 6350 SMC INR‐ASM PN: 02‐140273‐01 Assy‐Cover‐Prot‐Maint‐WHC‐RH 6351 SMC INR‐ASM PN: 02‐194716‐01 ASSY‐ELEVATOR BALL SCREW 6352 SMC INR‐ASM PN: 02‐320586D01 Assy‐Reflector‐Center‐Lower (Refl‐D) 6353 SMC INR‐ASM PN: 02‐350995D01 Assy‐Dynamic Pressure Regulator Assembly 6354 SMC INR‐ASM PN: 03‐140485‐01 PCB Assy Mod Sys Intfc Hyb Ph2 CE Board Assembly 6355 SMC INR‐ASM PN: 04‐146750‐01 Kit‐Containment PRCS MOD X‐EPI Processor Module 6356 SMC INR‐ASM PN: 04‐179611‐01 In‐Kit‐Susceptors‐DTS‐P8300 (Susceptor Polygon) 6357 SMC INR‐ASM PN: 04‐188653‐01 Kit‐Reactor Gate Valve Intlk‐Ph1 6358 SMC INR‐ASM PN: 04‐332828‐02 Kit‐Blades‐Stepped‐Intmd‐Inj Plt, Injector Plate 6359 SMC INR‐ASM PN: 04‐351408‐01 Kit‐Rgtrn Plates‐150/200MM ASM Cass, Cassette 6/8" 6360 SMC INR‐ASM PN: 1002‐184‐01 Heater H12IHE Assembly/Assy 6361 SMC INR‐ASM PN: 1002‐370‐001 Platen Assembly, Uni HSE The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 197 sales@semistarcorp.com
  • 18. 6362 SMC INR‐ASM PN: 16‐178840D01 Chamber‐Process‐ATM‐300MM (300 mm/12") Atmosphere 6363 SMC INR‐ASM PN: 16‐187840D01 Quartz Chamber‐Process‐300MM RP‐Short Flange 6364 SMC INR‐ASM PN: 16‐193622‐01 Susceptor‐125MM‐1.2MM Thk Substrate 6365 SMC INR‐ASM PN: 16‐351420D01 Wand‐Arm Support‐200MM UHP (200 mm/8") Quartz 6366 SMC INR‐ASM PN: 16‐352386‐01 Assy‐Wand/Arm‐100MM‐Thick 6367 SMC INR‐ASM PN: 2312158‐01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps 6368 SMC INR‐ASM PN: 2604782‐01 QTZ ATM Atmospheric Furnace Process Tube (Quartz, A400) 6369 SMC INR‐ASM PN: 73008‐00317 Eagle 400 Susceptor Heater Kit w/95100‐81006A 6370 SMC INR‐ASM PN: 83‐125000A56 ATM RB End Effector XYCarb 6371 SMC INR‐ASM PN: 91210‐35118 High Speed Switching, Manifold Valve KITZ SCT 6372 SMC INR‐ASM PN: 96‐125485A16 Valve Assy‐10K‐1/4" Auto Assembly Kitz SCT 91210‐35118B 6373 SMC INR‐ASM PN: D004651D Solid Source Container‐HIG 6374 SMC INR‐ASM PN:16‐180621B01 Gate Valve‐Modified‐Water Cooled VAT PN: 02112‐AE44‐AAJ1 6375 SMC INR‐ASM/VAT 03112‐LH24‐ABG1 PN: 50‐125346A01 Vacuum Gate Valve, Insert Style 6376 SMC INR‐ASTeX 5kW 2.0 MHz Variable Source RF Generator ARX‐X324 AMAT 0190‐01961 ETO 6377 SMC INR‐ASTeX SXRHC Refurbished w/6‐month Warranty 6378 SMC INR‐Asyst PN: 9700‐6209‐01 Power Distribution Unit Kit, ASM PN: 91‐125327A03 6379 SMC INR‐Asyst PN: 9700‐6209‐01 Power Distribution Unit Kit, ASM PN: 91‐125327A04 6380 SMC INR‐Automatic Destacker for PCBs SMT PCB Assembled Boards, Custom Built 6381 SMC INR‐BOC EDWARDS IGX 100N DRY VACUUM PUMP 200/230V 50/60HZ A53611958R AMAT 6382 SMC INR‐BOC Edwards STP451CVB 6383 SMC INR‐Brooks Automation Marathon 600 Vacuum Cluster Tool w/MagnaTran 7 Robot ASM 6384 SMC INR‐Brooks Automation Robot 162802 with Controller 164791 with or without JET 6385 SMC INR‐Brooks Automation SENTRY 1510 Manual Pressure Control System PN: 12908 6386 SMC INR‐Comdel CDX‐1000 13.56MHz/2MHz Dual Fre RF Generator AMAT PN: 0190‐07242 6387 SMC INR‐CTI Cryogenic On‐Board WaterPump 8120647G001/ Fast Regen Control Sputtering The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 198 sales@semistarcorp.com
  • 19. 6388 SMC INR‐Cymer EX‐5700‐II/EX5700 DUV 248nm Stepper Excimer Laser, Nikon? 6389 SMC INR‐Eaton/Axcelis 200A 0342‐0579‐3001 Ion Implanter Column/Accelerator Tube 6390 SMC INR‐Electro Scientific Industries, Inc. (ESI) 4900‐1107 XY Stage *OPEN BOX!* 6391 SMC INR‐ERSA Hotflow 2/20 Reflow Oven ‐ Flexible Profile Setup 6392 SMC INR‐Flipper Conveyor, Inverter Conveyor for Double Sided Assembled PCB 6393 SMC INR‐Genmark GPR Vacuum Wafer Elevator, ASM PN: 78‐115406A49 6394 SMC INR‐Genmark SMALL 9800106571 Robot System Controller ASM PN: 54‐115407A12 6395 SMC INR‐GT Advanced Technologies ASF Sapphire Crystal Growth Furnace/Vacuum Chamber 6396 SMC INR‐HALF METER Quality SMT Link Conveyors, By Pass Mode or Inspection Mode 6397 SMC INR‐HERAEUS QUARTZ 170 SLOTS WAFER BOAT P/N 63421, 2105‐120512, REV. 51 6398 SMC INR‐Hot Roll Laminator ‐ 18”HRL ‐ replaces Dynachem, DuPont HRL Western Magnum 6399 SMC INR‐ILC Technology HSH 1500 CIEO Super High Pressure Mercury Short Arc Lamp 6400 SMC INR‐ILC Technology HSH 1500 CILO Super High Pressure Mercury Short Arc Lamp 6401 SMC INR‐in Box Universal Instruments Magellan 0.5Mpp Upward Looking Camera 50322401 6402 SMC INR‐Lam Research 553‐22801‐00 RF Match * Trazar AMU10D‐4.F47 Mattson Aspen III 6403 SMC INR‐LAM RESEARCH 715‐030002‐001 RING, FILLER UPPER 6404 SMC INR‐Lam Research 853‐043759‐218 RF Generator 1000828 Rev. A Matcher Chamber 6405 SMC INR‐Lead Free 450 Wave Solder Machine Titanium or Cast Iron Pot Train & Install 6406 SMC INR‐leybold turbovac 350i oil free mag‐lev high compression UHV turbopump vacuum 6407 SMC INR‐Nikon 4B701‐247 Nozzle Pump Assembly NSR‐S620D System Working Spare 6408 SMC INR‐Oerlikon 790 PECVD CHAMBER / Oerlikon 790 PM / ENI ACG‐6B‐03 / SEREN MC2 6409 SMC INR‐TEL Tokyo I/O 4 Stage Carrier, Used 6410 SMC INR‐TEL Tokyo Kondom FU‐ION8 Filter Unit, Used 6411 SMC INR‐Temescal Airco ASC‐3200S Control Panel *for parts* 6412 SMC INR‐Temescal Airco ASC‐3200S Control Panel *sold as is, for parts* 6413 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *for parts* 6414 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *sold as is* The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 199 sales@semistarcorp.com
  • 20. 6415 SMC INR‐Temescal Airco RSC‐1000 Rotation Sequence Controller *sold as is, for parts* 6416 SMC INR‐Thermco Diffusion Furnace 6” 3Stacks 6417 SMC INR‐West Bond 242627B Automated Wire Bonder 6418 SMC THERMO CHILLER 0190‐53161 AC200/200‐208V 50/60HZ 30A 5KA HEAT EXCHANGER 6419 SMC Thermo Chiller HRS012‐WN‐20 / HRS012WN20 Water cooled. Have 3 total. 6420 SMC THERMO CHILLER INR‐494‐007 AC200/200‐208V 50/60HZ 45A 50KA HEAT EXCHANGER 6421 SMC THERMO CHILLER INR‐494‐007 AC200/200‐208V 50/60HZ 45A 50KA HEAT EXCHANGER 6422 SMC WATER COOLED THERMO CHILLER HEAT EXCHANGER HRW008‐H2‐NYZ 6423 SMC XGT221‐46236‐2C1‐X176 / ACTUATOR DMOS5 SMC SLIT VALVE / SMC 6424 SMC XGT300‐30‐1A‐X804 / SLIT‐VALVE PRESS 10‐6 Pa~atm OPE. PRESSO.45~.6 MPa / SMC 6425 SMC XGT512‐70508‐X693 High Vacuum Slit Valve ‐ New in Crate 6426 SMC, INR‐498‐003B, Thermo Chiller 6427 SMS WETBENCH 10‐000‐0392 / TANK‐SEALED SOLVENT(SMS WETBENCH) / SCP SERVICES 6428 SMT 90 Degree Turn Conveyor, SMT 90 Degree Corner Conveyor, Custom Built 6429 SMT assembly line Juki KE‐750, Juki KE‐760, Dek Horizon i03 6430 SMT conveyors, Bypass, Link Conveyors, Inspection Conveyors, Parts Warranty 6431 SMT PCB Washer Cleaner, Batch Washer, Aqueous 1000LD Low Discharge SMT Series 6432 SMT QM1100A Dual Head Automatic Desk top SMT Pick and Place Machine 6433 SMT QM1100‐B Dual Head Automatic Pick and Place Machine w/ Festo Ball screw 6434 SMT QM1500 Pick and Place Machine with Air Compressor and Reflow Oven 6435 SMT QMT‐1100M Tape and Reel Packaging  6436 SMT Reject NG OK Conveyor, PCB Assembly Conveyor Special Build, $8700 6437 SMT Shuttle Conveyor, Nutek Shuttle Gate Conveyor, Telescopic Conveyor, NTMGPTL 6438 SMT SRT 1100 Rework Station PCB SMT 6439 SMT Stencil Printer Unique 18inch x 18 Printing System with Precision Adjustment 6440 SMT Stencil Printer Unique Large Area Printing System with Precision Adjustment 6441 SMTmax Automatic Stencil Printer ‐ SMTmax 6442 SMTMAX Reflow Oven ‐ Single Phase ‐ SMTMax AE‐F530C 5 zone 6443 SNIPER SPLIT VISION A.P.E 7007‐1000 SNIPER SPLIT VISION 6444 SOI, SIMOX 150mm Dia. 5um Device Si, Prime,Silicon Wafers, 25pcs, Sealed Box The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 200 sales@semistarcorp.com
  • 21. 6445 SOI, SIMOX 150mm Diameter, Prime, Silicon Wafers, 25pcs, Sealed Cassette 6446 SOLD ‐‐ CyberOptics QX500 AOI 2010 inspection system in Excellent Condition 6447 Solitec 5100 Manual Spin Coater 6448 Solitec 5110 (8mm) 150mm Wafer Processing Photoresist Spin Coater Tested Working 6449 Solitec 5110‐D (8mm) Photoresist Coater Developer 6450 Solitec 5410 ND Wafer Processing Developer 6451 Solitec FlexiFab Coater / Developer 6452 Solitec Photoresist Coat / Bake System 820‐CB ++ 6453 Solitec Wafer Processing Model 5110‐C Photoresist Spinner Coater 6454 Sonitek EZ‐501/1 TS500 Thermal Press w/ GWS SP1000M Table 6455 SONIX SAM SCANNING ACOUSTIC MICROSCOPE UHR‐2001 (#2918) 6456 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 EP‐GW NSR‐S204B Used 6457 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used 6458 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis Nikon NSR Used 6459 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis NSR‐S204B Used 6460 Sony 1‐675‐992‐11 Laserscale Processor PCB Card DPR‐LS21 Z‐Axis NSR‐S204B Used 6461 Sony 1‐675‐992‐12 Laserscale Processor PCB Card DPR‐LS21 EP‐GW  6462 Sony 1‐675‐992‐12 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used 6463 Sony 1‐675‐992‐13 Laserscale Processor Card PCB DPR‐LS21 EP‐GW NSR‐S307E Used 6464 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 EP‐GW Nikon NSR Used 6465 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 X‐Axis NSR‐S204B Used 6466 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 Y‐Axis NSR‐S204B Used 6467 Sony 1‐675‐992‐13 Laserscale Processor PCB Card DPR‐LS21 Z‐Axis NSR‐S204B Used 6468 Sony 1‐677‐707‐13 LS Ctrl PCB DPR‐LS22 Nikon 4S018‐852‐2 Nikon NSR  6469 Sony 1‐677‐707‐13 PCB DPR‐LS22 4S018‐852‐3 Nikon NSR‐S307E  6470 Sony 1‐689‐898‐11 Laserscale AMP Detector Card 4S008‐247 Nikon NSR‐S306C Used 6471 Sony 1‐689‐898‐11 Laserscale AMP Detector Card PCB 4S008‐248 Nikon NSR‐S306 Used 6472 Sony Technolook TW‐TL10S Video Microscope 6473 SONY TEKTRONIX 371 CURVE TRACER W/ 371 TEST FIXTURE 6474 Sopra Ellipsometer GXR 6475 Sorensen DCS 40‐25M37 Power Supply, 0‐40 Volts, 0‐25 Amps The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 201 sales@semistarcorp.com
  • 22. 6476 SORENSEN SGA80X185C‐0AAABD / E19297970 AMETEK HIGH PWR PRGMBLE PWR SPLY / SORENSEN 6477 Sortcam sfs6220 / sfs6200 6478 Sp1 DLS Laser 6479 Sp1 DLS laser air blower 6480 SPEA C320‐MX Semiconductor Tester w/ M300 Manipulator 6481 SPEA C320‐MX Semiconductor Tester w/ M310 Manipulator 6482 Spectra‐Physics Tristar 600‐12K‐09 Laser w/J20I‐8S40‐12K‐TRI Power Supply +2200B 6483 Spectronics Corp Spectroline PC‐8820A UV Eprom/Wafer Erasing System 6484 Spectrum 260‐00625 Processor Card PCB FRU 600‐00288 Nikon NSR‐S205C Spare 6485 SPEEDFAM 24" SPEEDFAM MODEL JNG‐SH24 WAFER GRINDING, LAPPING & POLISHING MACHINE 6486 SpeedFam‐IPEC/Novellus 676 AvantGaard CMP System Polisher/Planer/Planarization 6487 Speedline ‐ Electrovert Omni 7 Reflow Oven (091180) 6488 Speedline / MPM UP3000/A Ultraprint 3000 Automatic PCB Board Stencil Printer 6489 Speedline / MPM UP3000/A Ultraprint 3000 Automatic PCB Board Stencil Printer. 6490 Speedline Camalot 3800 Inline Dispenser (2001) 6491 Speedline Camalot 3800 Inline Dispenser (2001) 6492 Speedline Camalot 3800 Inline Dispenser (2001) 6493 Speedline Camalot 5700 Dispensing System 6494 Speedline CAMALOT FXD8000 Fluid & Solder Paste Dispenser ‐ See Video 6495 Speedline Electrovert Aquastorm 200 In‐line Aqueous Cleaner 6496 Speedline Electrovert Bravo 4050 Convection Reflow Belt Oven / Soldering Oven 6497 SPEEDLINE Electrovert Omni 7 REFLOW OVEN 6498 Speedline Electrovert Omniflex 7 reflow oven 6499 Speedline Electrovert Vectra 450/F Wave Solder w/USI Spray Fluxer‐Lead Free Pots 6500 Speedline MPM Accela Screen Printer 6501 Speedline MPM Accuflex 2005 Fully Functional 6502 Speedline MPM AP Excel Screen Printer 6503 Speedline MPM Momentum Elite Screen Printer 6504 SPEEDLINE MPM SPM SPM‐B 6505 Speedline Technologies MPM Accuflex. Works Great. 6506 SPEX 8000M Mixer Mill Grinder Pulverizer Shaker SamplePrep w/SS Vial ref #39564 6507 SPF KOH Hood Specialty Plastics and Fabrication KOH Hood Wafer Strip Wet Process 6508 SPF NS‐FAB Waste Water Collection and Treatment 6509 Spicer Field Cancelling System Type SC12 6510 Spin‐coater 24" Gen 2 glass with lamination mechanism 6511 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 202 sales@semistarcorp.com
  • 23. 6512 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! 6513 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! 6514 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! 6515 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! 6516 Spirent Abacus 5000 AB3‐3150 13 Slot Rack *Fast Shipping* Warranty! 6517 SPITFIRE 36" POLISHING LAPPING BETTER THAN EXCELLENT CONDITION MAKE OFFER 6518 SPTS DT319143 OUTER CERAMIC LINER/RING SPTS 6519 SPTS Primaxx Monarch 3 HF Release Etch System 6520 SPTS TECHNOLOGIES 156544 / PLASMA PLATEN /TRIKON/SPTS TECHNOLOGIES 6521 SPTS TECHNOLOGIES A45188 / LOWK FF MK3B LF / TRIKON/SPTS TECHNOLOGIES 6522 Sputter Coating System ‐ Ten 3" magnetrons, dry pump, AC DC power supplies 6523 Sputter with various Accessories 6524 SPYS 189386 SPTS Ceramic Chamber Liner 6525 SRS RGA 200 6526 SSEC 3302 Single Wafer Chemical Etch Processor6 6527 SSEC 3308 Coat Developer ‐ Dual Cassette to Cassette 6528 SSEC CLEAN 3300 6529 SSEC CLEAN 3300 6530 SSEC EVERGREEN II SCRUBBER scrubs up to 200mm wafers 6531 SSEC Evergreen Series II 202 Photoresist Wafer Etcher 6532 SSEC Evergreen Series II 202 Spray Solvent 6533 SSEC GLOVE BOX 6534 SSEC Model 3300 ML Trillenium Photomask Cleaner with Single Brush Scrub 6535 SSEC NTe hermetic package sealer with enclosure 6536 SSEC Solid State Equipment M20 Mask Washer evergreen  6537 SSEC Wafer Etcher MODEL CSU 6538 SSM Solid State Measurements INC. SSM 470I CV MEASUREMENT SYSTEM 6539 SST / Palomer HV‐2200 GT / DAP 2200 / SST 3150 High Vacuum Furnace / turbo pump 6540 SST DAP 1100 SCIENTIFIC SEALING TECHNOLOGY 6541 SST DAP 2200 ‐ Parts Unit for Rebuilding ‐ As‐is per photos 6542 SST DAP 2200 SCIENTIFIC SEALING TECHNOLOGY 6543 SST MV 2200 SCIENTIFIC SEALING TECHNOLOGY 6544 SST MV‐2200‐CE Programmable Medium Vacuum Sealing Solder Reflow Furnace / Oven 6545 SST VOIDLESS‐SOLDER‐REFLOW‐EUTECTIC‐SCIENTIFIC‐SEALING‐TECHNOLOGY‐DAP‐2200 6546 Stag Microsystems Verifier Latchup IC ESD Semiconductor Analysis Test System 6547 Stage  18‐015517 Thermawave 8X8 X/Y Stage Assembly 6548 Stainless High Vacuum 6" CF Chamber Leybold Turbovac 150CSV Pump BaratRon MKS The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 203 sales@semistarcorp.com
  • 24. 6549 Stainless Steel Custom Vacuum Chamber W/ MDC GV‐4000M‐P Gate Valve MDC GV‐1500V 6550 Stainless Steel Hot Chuck Concentric rings for vacuum connection 200mm 6551 Stainless Steel Hot Chuck Concentric rings for vacuum connection 6" diameter 6552 Stainless Steel Vacuum Chambers Ion Pumps Gate Valves GV‐4000V‐05, 4" 6553 Stanford Research QMS Residual Gas Analyzer 6554 Stanford Research Systems QM2000 Gas Analyzer 6555 StarSpec SSP3190‐J36 LED Tester Controller with Zvision LED Test Chamber 6556 Staubli Automation CS7MB RX60 Robot Controller with 14 day warranty 6557 Staubli Automation RX60 CR zygo Cleanroom Robot with 14 day warranty 6558 STAUBLI RX130 Robot 6559 Staubli Unimation Silverbox Unival Puma controller CS5 SB 560C w/ warranty 6560 STC 3800 Edge Grinder. Looking for swift sale. 6561 STEAG 00046429‐00 / END EFFECTOR,RIGHTHANDED / SCP SERVICES 6562 STEAG ELECTRONIC SYSTEMS INC 0810523 / PUMP,HIGH TEMPPROCESS,PFF40HT 6563 Steag Hamatech HMP 90 ‐ 6564 Steag Micro Tech GmbH Ionization unit 6565 STEAG PUMP, LOW TEMP PROCESS EA‐40VEW / STEAG ELECTRONIC SYSTEMS 6566 STEALTH LPC‐480PCLeG4‐B840‐102GF‐04 / DRIVER INPUT 10V‐26V DC WF‐3016  6567 Stencil Printer ‐ MPM Accuflex by Speedline Technologies ‐ Used ‐ Works Well 6568 Stencil Printer, Pick n Place, Reflow Oven PACKAGE DEAL 6569 Stepper/Mask Aligner Lens? KB‐02? 4 1/2" 6570 Stoelting Trek Triton InLine PCB Aqueous Washer 6571 Stone Granite Slab 2006 Integrated Dynamics Active Vibration Isolation Table Tru‐Stone Granite Slab 6572 Strasbaugh 6BD‐3. Looking for swift  6573 Strasbaugh 7 AF GRINDER SILICO WAFER CERAMIC VACUUM CHUCK 8” chuck 6574 Strasbaugh 7AA Backgrinder 6575 STRASBAUGH Lapping Polishing machine STRASBAUGH 6BK 115 volt drive EXC USED COND. 16 " 6576 Strasbaugh Model 6EC CMP Wafer Polisher Complete Unit 6577 Strasbaugh three Carriers, parts kit 6578 Struers Abrapol 2 Polisher Grinder  6579 Struers Polisher ‐ Prepamatic II 6580 STRUERS PREPAMATIC 2 FULLY AUTOMATIC POLISHER GRINDER5 6581 STS Load Lock Controller 6582 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher 6583 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher 6584 STS Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher 6585 Sturtz Aluminum window door profile machining and cutting fabrication center The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 204 sales@semistarcorp.com
  • 25. 6586 Sturtz Window Sash machining and cutting fabrication center 6587 SULLAIR ES11‐40H WC 460V 40 HP SCREW AIR COMPRESSOR 6588 Sumitomo 4K191‐751 ZL Axis VCM1 M28490 NSR‐S205C Main Body  6589 Sumitomo 4K191‐752 ZR Axis VCM1 M28491 NSR‐S205C Main Body  6590 Sumitomo 4K191‐753 ZB Axis VCM1 M28492 NSR‐S205C Main Body  6591 Sumitomo ADE1 H Control Unit 4S587‐598 M28557 Nikon NSR‐S307E  6592 SUMITOMO CRYOGENIC PUMP MODEL AKZ‐8L WITH SMC HIGH VACUUM VALVES 6593 SUMITOMO PRECISION  2014 SUMITOMO PRECISION GRF‐RXA20 HIGH CONCENTRATION OZONE  GENERATOR  6594 Sumitomo SD1509 HEPA Air Spin Dryer for Semiconductors 6595 Sumitomo X88D1‐0001 Linear Motor Driver Nikon 4S587‐651 NSR‐S307E  6596 Sunsda SIV‐90T PCB Turn Unit 6597 SUNX M‐825 wafer mapping sensor and flat zone aligner on Kokusai Furnace DD‐823 6598 Surface Mount Techniques SL 2220 Semi Automatic Stencil Printer PCB SMT PC Board 6599 Surface Tension Gradient MicroTech D1‐ N2 Dryer 6600 SURFSCAN CALIBRATION WAFERS ‐ VLSI/KLA‐TENCOR 6601 Surfx Atomflo T Atmospheric Plasma Generator Controller 27.12MHz 300W 110‐240V 6602 Surfx Atomflo T Atmospheric Plasma Generator Controller 300W 27.12MHz 110‐240V 6603 Suss | 1023248, Complete Spacer/Clamp Mechanism 6604 Suss | 1023260, Complete Spacer/Clamp Mechanism 6605 Suss | 4in BSA Vacuum Contact Chuck for 3mm thick wafer ‐ (AND many more PARTS) 6606 Suss | 610MS889, Z Axis Motor 6607 Suss | 860214001D, Motion Control Board 4Axis/T5, W5 6608 Suss | LH0602148, 3/8in Gap Lamp House 6609 Suss | LH0699183, 1/2in Gap Lamp House 6610 Suss | W1015261, Complete WEC Assembly 6611 Suss | Z Axis Shaft, Nut, Bearings, Bracket, Gear 6612 SUSS A111260 Karl Suss MJB3 Mask Aligner, Type 401001 6613 SUSS ACS200 Coater Developer Computer Working Units 6614 SUSS Karl Suss MJB3 Mask Aligner Type 100UV030 w/ Mimir 505 Energy Controller 6615 SUSS MICROTEC LITHOGRAPHY GMBH LAMP HOUSE 5000W ID‐NR.174134 6616 Suss MicroTec M6000L Lift Off System 6617 Suss MicroTec MA6 Bond Tooling 6618 Suss MicroTec PAV 150 Vacuum Prober System 6619 SUSS MJB‐3 Mask Aligner 6620 Suss PH400 manual submicron positioner 6621 Suss SB6 Substrate Bonder 6622 SUZUKI SMT 1200C PICK AND PLACE MACHINE LOW USAGE 6623 SVG / CRYCO Wafer Diffusion Furnace Boat Loader / Thermco Cantilever LPCVD The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 205 sales@semistarcorp.com
  • 26. 6624 SVG 28‐543013‐01‐001 9038S/9037S Bake & Chill Station 28‐43015‐01 9044S 90S Used 6625 SVG 879‐7120‐002 /PCB ASSEMBLY851‐8240‐007(ADSP‐2100)854‐8302‐003A(AFA DAUGHTER/ SVG 6626 SVG 910285‐001 / HEATER ELEMENT LOW TEMP,AVP‐8000 VERTICAL DIFFUSION FURNACE / SVG 6627 SVG 99‐43111‐01 /ASSY BOX ELEC STACKED STN/ SVG 6628 SVG 99‐45453‐01 / CONTROLLER‐FLOW COAT MOD, POLY / SVG 6629 SVG 99‐45958‐01 REV C / CONTROLLER SERVO HI‐ACCEL SVG PACIFIC SCIENTIFIC 6630 SVG 99‐66566‐01 / EXHAUST FLOW CONTROLLER AND PCB (99‐80315‐01) / SVG 6631 SVG 99‐80266‐01 / ASSY PCB STATION CONTROLLER‐ SOFT BAKE, BAKE, DEVELOPER, CHI / SVG 6632 SVG 99‐80269‐01 / 90S SHUTTLE CONTROLLER INTERFACE PCB / SVG 6633 SVG ARM LTN SEMICONDUCTOR EQUIPMENT 99‐16214‐01 6634 SVG ASML 90S Complete Dryer Module 9038S 9037S 99‐206 Station CPU 6635 SVG ASML control rack, A1300 power I/O, A1850 DC power supply, Galil DMC1850 6636 SVG ASML Lithography 865‐8012‐003‐A Staubli CS7 Msvg RX60 SCRsvg with warranty 6637 SVG Coat Develop Track 8836 HPO 6638 SVG COATED MODULE 6639 SVG Dual Track Photoresist Coater 6640 SVG Silicon Valley ASML Series 90‐S Temperature Controller Cabinet 6641 SVG Silicon Valley Group Robitech PCB Module 879‐0791‐001 980‐2300  6642 SVG Silicon Vally Group 99‐39984‐01 Spin Coater Module 9026 90S DUV As‐Is 6643 SVG Silicon Vally Group 99‐40609‐01 Developer Module 9032 90S DUV As‐Is 6644 SVG/ASML PN: 859‐5163‐005/00‐143‐502 Lexel 85S Laser Power Supply 6645 SVG/SITE Services 8632CTD/8636HPO Developer‐Exposure Track 6646 Synergy Motorola V452‐B 73641‐01 73694G01 72423G03 RF‐2 Upstream VME CompactPCI 6647 T&C Power Conversion AG 1024 LF Generator & Amplifier Class B RF 2000W  6648 T&C Power Conversion Low / Radio Frequency RF Generator 2000W AG1024 6649 Tabai Espec PL‐2GM Plantinous Lucifer Temperature and Humidity Chamber *working* 6650 Tachibana Tectron TVME2500 VME PCB Card NEC‐16T Rev. B TVME2500‐CRD  6651 Takaya 8400‐CJ Flying Probe Tester 6652 Tamar Technology WaferScan Non‐Contact Profilometer, Complete w/ Training 7137 6653 Tantec Electrical Surface Treatment Power Generator HV2000 Warranty! 6654 TapTone 500 Container Inspection System ‐ ANSI‐23‐985‐111‐X Version 5.6 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 206 sales@semistarcorp.com
  • 27. 6655 Taylor‐Winfield Resistance Welder EBT‐212‐50AIR w/ Glove Box Vacuum Oven 6656 TAZMO SOG COATER CSX2132N 6657 TDK 300mm Wafer Load Port TAS300 E4A. Man. 2015. 6658 TDK Corporation TAS300 300mm Wafer Load Port Copper No Transponder or Cover Used 6659 TDK Corporation TAS450 Wafer Load Port Type A2 Untested For Parts or Repair SEM 6660 TDK MSE378 Power Supply PCB Card 4S001‐122‐2 Nikon NSR‐S620D ArF Immersion Used 6661 TDK RX 11 ‐ Chip Component Mounter ‐ Pick and Place 6662 TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190‐17212 Working Spare 6663 TDK TAS‐300 Load Port *, 90 day warranty* 6664 Tecdia TEC‐2004TM Manual Wafer Scribing Machine for 3‐inch Silicon Wafer 6665 TECDIA TEC‐3005KD Breaker 6666 Tech 5 Hellma, PCI Interface Board, PD‐PCI01v1, 11‐0106012‐00. 6667 Tech.Devices Co. Mark I‐B Wave Soldering Machine Full of Solder, Feed Tray Incl. 6668 TecHarmonic EHTVS SYSTEM, ENHANCED HIGH TEMPERATURE VORTEX WATER SCRUBBER 6669 Techne SBL‐2 Fluidized Sand Bath (used) 6670 Techni Intec 2010 Techni Intec 612, 6' x 12' Table Size, 50 HP, 60k PSI, CNC Waterjet 6671 Technic Inc Wet Bench Module 6672 Technic Mini Cu Ni Plating Line 6673 Technical Devices Nu / Era Wave Solder System 6674 Technical Devices Nu/Clean PolySMT 318 Board Wash Cleaner w/Saponifier Injector 6675 Technical Devices NuClean 624XL 24" In‐line cleaner washer w/ DI recycling 6676 Technical Instrument K2IND Microscope, 6677 Technical Instrument KMS300 with K2IND/Nikon Technical Instrument 93243129 6678 Technical Instrument KMS300, Wafer Inspection System Computer / Controller 6679 Technical Instruments/Leica Inspectron 880 Wafer Defect Review Microscope 6680 Technics Macro‐RIE 8000 Series Reactive Ion Etching System 6681 TECHNICS MIM‐TLA20 Ion Miller w/ CTI CRYO‐TORR 8 Pump  6682 Technics PE‐II Planar Etch Benchtop Plasma Ashing Ion Etcher 6683 Technics PE‐II Planar Etch Benchtop Plasma Ashing Ion Etcher For 200mm 8" Wafers 6684 Technics PEIIA Plasma Etcher 6685 Technics Plasma Cleaner 853 WITH 85‐RIE 6686 Techno CNC Laser Cutting Premium Class 5996 6687 Technoorg Linda Gentle Mill SBT TL‐GM1 w/Pfeiffer Turbo Pump & Control The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 207 sales@semistarcorp.com
  • 28. 6688 Technos Trex 610 T. Looking for swift sale. Motivated. 6689 TECHWARE 5 EXPRESS Controller , Brooks Automation 6690 TECHWARE 5 EXPRESS Controller , Brooks Automation / JS‐020‐A T5X‐S2 /  6691 TECHWARE 5 EXPRESS CONTROLLER / BROOKS AUTOMATION T5X‐S2 /  6692 TEC‐SEM W65101090 /STEAG DIGITAL MOTION CONTROL ST1/10‐310 (SERVO AMP CDI 2846)/ TEC‐ SEM 6693 Tegal 1512e Industrial RIE Plasma Etcher System w/Modules Assembly For CI‐4222 6694 Tegal 1512e Industrial RIE Plasma Etcher System w/Modules Assembly For CI‐4222 6695 Tegal 33‐527‐001 Bellow Chuck New Surplus 6696 TEGAL 415 PLASMA ASHER 6697 TEGAL 515 PLASMA ASHER (With Warranty) 6698 Tegal 701 Inline Automatic Wafer RF Plasma Etcher Tested Working As‐Is 6699 TEGAL 80‐055‐621 VARIAN TV‐1001 NAVIGATOR MODEL # 9698931 TURBO PUMP 6700 Tegal 80‐095‐278RW PCB MICROPRCS CONTROLLER W/SLOW PROM 6701 Tegal 803 Inline Automatic Wafer RF Plasma Etcher Tested Working As‐Is 6702 Tegal 803 Inline Wafer Automatic RF Plasma Etcher ‐ UNTESTED ‐ As Is / For Parts 6703 Tegal 901e Plasma Etcher #2 6704 Tegal 901e Plasma Etcher 901e Plasma Etcher #1 with ENI ACG‐10 RF Power Supply 6705 Tegal 915 Batch Photoresist Stripper Plasma Barrel Etcher Asher Treatment System 6706 Tegal CC1326‐00100 Chamber‐Stripper For Parts As‐Is 6707 Tegal CR1324‐00100 RF Match Network Source Strip Untested As‐Is 6708 Tegal Endeavor AT PVD tool ‐ SNAP IO SMC Controller for Process Module 6709 TEGAL PLASMALINE MODEL 421 WITH SPARE PARTS! WORKING ? > J 6710 TEGAL PN 80‐055‐621 VARIAN TV‐1001 NAVIGATOR MODEL # 9698931 TURBO PUMP 6711 Tegal Vacuum Cassette Elevator Loadlock VCE, 100/150MM, Left CW1299‐10102 324878 6712 TEIKOKU 4"/6" Sic WAFER TAPE LAMINATOR DXL 800MK II 6713 Tektronix TDS784D 4 Channel Digital Phosphor Oscilloscope, 1 GHz, 452415 6714 Tektronix TDS784D 4 Channel Digital Phosphor Oscilloscope, 1 GHz, 452415 6715 TEKTRONIX, INC AWG610 / OSCILLOSCOPE SCOPE AND PROBES / TEKTRONIX, INC 6716 TEL / FSI Mercury OC Spray Processing System / 5" rotor 6717 Tel 1810‐12193‐11 TEL Unity DRM Upper Silicon Electrode NEW 6718 TEL 1810‐122006‐11, BODY, UEL..G2L, NEW IN AN ORIGINAL PACKAGING 6719 TEL 24663032001 / TEL LITHIUS PRO Z KAWASAKI TRANSFER ARM / KAWASAKI 6720 TEL 2L39‐000039‐25, FTM‐80A1/ DAIHEN RF GEN AUTO MATCHER 3KW‐8KW 3.2MHZ‐40.8M / TEL 6721 TEL 2L39‐000039‐25, FTM‐80A1/ DAIHEN RF GEN AUTO MATCHER 3KW‐8KW 3.2MHZ‐40.8M / TEL The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 208 sales@semistarcorp.com
  • 29. 6722 TEL 2L39‐000046‐23 / HPK6R3ZI‐TE8‐PULSE P.S. KYOSAN / TEL 6723 TEL 2L39‐000048‐54,JFK85TH‐TC5 / KYOSAN RF GEN 8.5KW 3.2 MHZ / TEL 6724 TEL 3150010‐015 /LF‐10  GEN LOW FREQ RF POWER / TEL 6725 TEL 3287‐001202‐15 / ASU ASSY P‐8 / TOKYO ELECTRON TEL 6726 TEL 3839‐000148‐13/RP‐500‐13M(T04),180‐220VAC 50/60 HZ,2KVA,PEARL KOGYO 500W/TEL 6727 TEL 3M80‐001939‐11 / TRANSFORMER BOX TRIAS TB TR1 T2 / TOKYO ELECTRON TEL 6728 TEL 3M80‐002223‐11, 3M87‐033870‐13/ TRAP SP ASSY, 300T, TRIAS 300 TI/TIN / / TEL 6729 Tel 4U Server W/Peak760VL2, VPM‐8100X‐000, DN3‐PCU‐1‐E V1.2.8, 43‐800115 *TQ833 6730 TEL 8S Carrier Transfer 6731 TEL ACT 12T‐TA*LV‐1310.750.50‐BI.EL.CB Fan Filter Unit (New), Absolute Filter II 6732 TEL Alpha 8 IK‐9X3‐212 Manifold Flange Plenum With Mounting Plate, Used 6733 TEL ALPHA 805C BOAT ELEVATOR 6734 TEL ALPHA 805‐C VERTICAL FURNACE PROCESS KIT 6735 TEL ALPHA 805C WAFER TRANSFER Z 6736 TEL ALPHA 805‐SC VERTICAL FURNACE PROCESS KIT 6737 TEL ALPHA 805‐SC VERTICAL FURNACE PROCESS KIT 6738 Tel Alpha 8S 200MM LPCVD Door w/ Boat Rotation, Used 6739 TEL CLEAN TRACK LHP UNIT / TOKYO ELECTRON TEL 6740 TEL CLEAN TRACK LHP UNIT / TOKYO ELECTRON TEL 6741 TEL CVD VERTICAL FURNACE PROCESS KIT ALPHA 805 SC 6742 TEL DRM / TOKYO ELECTRON 1D10‐102848‐13 / BAFFLE PLATE TEL DRM / TOKYO ELECTRON TEL  1D10‐102848‐13 6743 TEL ELECTRON BOTTOM, P/C (85P‐ESC‐8J‐UP), REPAIRED IN AN ORIGINAL BOX 6744 TEL EPD ‐ SE2000 Advanced OES Endpoint System  6745 TEL EPD ‐ SE2000 for Unity 2e/M ‐ Included COM Board TYB‐121 and COM  Cable 6746 TEL EPD  ‐ SE2000 Advanced OES Endpoint System ‐ Verity SD1024D 6747 TEL EPD  ‐  SE2000  ‐ Verity SD1024D 6748 TEL EXPEDIUS / RETICLE HANDLER ASSY / TOKYO ELECTRON TEL 6749 TEL EXPEDIUS TRANSFER / WAFER TRANSFER ASSY / TOKYO ELECTRON TEL 6750 TEL External Torch burnoff enclosure Assy For Alpha 8s Furnace 6751 TEL MB3S80‐000332‐11 ROTARY, DRIVE FEEDTHRU; 447‐21765‐00; C24707 52‐132058B 6752 TEL OYDK‐60‐095B‐064‐065 / ASSEMBLY, PCBS EXT CHEM#02, / TOKYO ELECTRON TEL 6753 TEL PCB Board CT‐1R81‐602755‐15/ TVB6006‐1/SPC 6754 TEL TOKYO ELECTRON 1105‐100361‐12 / PEDESTAL QUARTZ FINNED WITH SPACER TEL 6755 TEL Tokyo Electron 1885‐022352‐17 Electrode Bottom PC 6756 TEL TOKYO ELECTRON 2168‐000007‐11 /THYRISTOR CONTROL UNIT CU162U4Z3FA 6757 TEL Tokyo Electron 2985‐411180‐W6 Cool Plate Module ACT12 300mm  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 209 sales@semistarcorp.com
  • 30. 6758 TEL Tokyo Electron 2985‐423760‐W3 IFB Interface Block Cooling ACT12‐300 Used 6759 TEL Tokyo Electron 2985‐429208‐W4 300mm Sub Unit Adhesive Module Missing As‐Is 6760 TEL Tokyo Electron 2985‐429208‐W4 Adhesive Module Base ACT12‐300 300mm As‐Is 6761 TEL Tokyo Electron 2985‐445336‐W1 IFB Interface Block Cooling ACT12 200mm Used 6762 TEL Tokyo Electron 2985‐445336‐W1 IFB Interface Block Cooling ACT12 No Rack Used 6763 TEL Tokyo Electron 384 ADH Pin Stand Station 2985‐403464‐W1 ACT12 200mm Used 6764 TEL Tokyo Electron 3D05‐200136‐11 INSULATOR, BEL BTM 150DEG 6765 TEL Tokyo Electron 3D10‐100293‐V1 Upper Body Electrode New 6766 TEL Tokyo Electron 3D10‐100596‐13 Depo Shield UED DT‐40 6767 TEL Tokyo Electron 3D10‐100596‐13 Depo Shield UED DT‐40 6768 TEL Tokyo Electron 3D10‐101276‐V1 Shield Depo D3.0P35.6 W‐LB N Refurbished 6769 TEL Tokyo Electron 3D10‐150447‐V1 Depo Shield SCCM EXP New Surplus 6770 TEL Tokyo Electron 3D10‐150809‐V1 ESC Electrostatic Chuck BTM TC‐D NB New Spare 6771 TEL Tokyo Electron 3D10‐250834‐V1 CEL OX T10‐75‐C912 (COC‐N) New Surplus 6772 TEL Tokyo Electron 3M80‐000559‐11 AC Distribution System EP‐ACD‐01 / 67157 6773 TEL Tokyo Electron 3M87‐021476‐12 System Interlock Unit 01NUC‐0012‐0036 6774 TEL Tokyo Electron 3Z10‐100139‐12 PLATE, PIPE JACKET LOWER (RLSA) ‐ New 6775 TEL Tokyo Electron 3Z10‐100213‐12 PLATE, PIPE JACKET UPPER SLIDE ‐ New 6776 TEL Tokyo Electron 3Z10‐200535‐11 RING, CLAMP FR‐S HT 6777 TEL Tokyo Electron 5027‐678469‐11 WCPL/TRS Water Controlled Chill Plate New 6778 TEL Tokyo Electron 5085‐407036‐19 Process Block Robotics Arm PRA Lithius Used 6779 TEL Tokyo Electron 5085‐412389‐11 Scan Arm L&R DEV ASSY Lithius  6780 TEL Tokyo Electron 5087‐402251‐17 CPHP General Chamber CPHG Type 1 Lithius Used 6781 TEL Tokyo Electron 5087‐402251‐17 CPHP General Chamber CPHG Type 2 Lithius Used 6782 TEL Tokyo Electron 5087‐403592‐14 Cup Washer Holding Stage (2) CWH Lithius Used 6783 TEL Tokyo Electron 5087‐403592‐14 Cup Washer Holding Stage (2) CWH Lithius Used 6784 TEL Tokyo Electron 5087‐403675‐12 Interface Block Robotic Arm Sub Lithius Spare The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 210 sales@semistarcorp.com