SlideShare a Scribd company logo
1 of 13
Download to read offline
Contents:
 Introduction
 VHDL structural Modelling Programs –Full Adder, SR Flip
flop, JK Flip flop & D flip flops
Kongunadu College of Engineering & Technology Structural Modelling 1
Structural Modelling
 In structural design, a VHDL and a Verilog uses components or
gates to model the system.
 The important features of VHDL structural type of architecture
body are:
 Design hierarchy
 Components are used
 Each component is simulated separately.
 In the structural modeling, an entity is described as a set of
components connected by signals, that is, as a net list.
 The architecture body is composed of two parts: the declarative
part and the statement parts.
Kongunadu College of Engineering & Technology Structural Modelling 2
Contd…
Full adder is taken as an example,
Kongunadu College of Engineering & Technology Structural Modelling 3
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity full_add is
port(A,B,Cin :in bit;
Sum,Cout :out bit);
end full_add;
architecture adder of full_add is
component xor3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
component and2
port(I1,I2:in bit;
O1:out bit);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 4
Contd…
component or3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
signal S1,S2,S3:bit
begin
Y1:xor3 port map (A, B, Cin, Sum);
X1:and2 port map (A, B, S1);
X2:and2 port map (A, Cin, S2);
X3:and2 port map (B, Cin, S3);
Y2:or3 port map (S1,S2,S3,Cout);
end adder;
Kongunadu College of Engineering & Technology Structural Modelling 5
Structural description of pulse triggered SR
Flip-flop
Kongunadu College of Engineering & Technology Structural Modelling 6
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity SR_FF is
port(S,R,CP:in std_logic;
Q,Qbar:buffer std_logic);
end SR_FF;
architecture FF of SR_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
for all: nand2 use entity work.two input(nand2_7);
signal S1,R1: std_logic;
begin
NA1:nand2 port map (S1,Qbar,Q);
NA2: nand2 port map (Q ,R1,Qbar);
NA3: nand2 port map (S ,CP,S1);
NA4: nand2 port map (R ,CP,R1);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 7
Structural description of pulse triggered D
Flip-flop
Library ieee;
Use ieee.std_logic_1164.all;
Entity D_FF is
port(D,CP:in std_logic;
Q,Qbar:buffer std_logic);
end D_FF;
architecture FF of D_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 8
for all: nand2 use entity work.two input(nand2_7);
signal S1,R,R1: std_logic;
begin
NA1:nand2 port map (D,CP,S1);
NA2: nand2 port map (R,CP,R1);
NA3: nand2 port map (D,D,R);
NA4: nand2 port map (S1,Qbar,Q);
NA5: nand2 port map (Q,R1,Qbar);
end FF;
Contd….
Kongunadu College of Engineering & Technology Structural Modelling 9
Structural description of pulse triggered JK Flip-
flop
Kongunadu College of Engineering & Technology Structural Modelling 10
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity JK_FF is
port(J,K,CP:in std_logic;
Q,Qbar:buffer std_logic);
end JK_FF;
architecture FF of JK_FF is
component nor2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
component and3
port(I1,I2,I3:in std_logic;
O1:out std_logic);
end component;Kongunadu College of Engineering & Technology Structural Modelling 11
Contd…
for all: nor2 use entity work.two input(nor2_7);
for all: and3 use entity work.three input(and3_7);
signal R,S
begin
N1:nor2 port map (S,Q,Qbar);
N2: nor2 port map (R,Qbar,Q);
A1: and3 port map (Q,K,CP,R);
A2: and3 port map (Qbar,J,CP,S);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 12
Conclusion:
The basics of structural modelling is explained in detail with examples.
References:
1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013.
2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013.
3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015.
4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL,
Cengage,2013.
5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016.
6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition
2016
7. Other Web Sources
Kongunadu College of Engineering & Technology Structural Modelling 13

More Related Content

What's hot

Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Digital Electronics - Counters
Digital Electronics - CountersDigital Electronics - Counters
Digital Electronics - CountersJayakrishnan J
 
verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gatesRakesh kumar jha
 
Lect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute BeginnersLect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute BeginnersDr.YNM
 
8051 Microcontroller ppt
8051 Microcontroller ppt8051 Microcontroller ppt
8051 Microcontroller pptRahul Kumar
 
ARM Exception and interrupts
ARM Exception and interrupts ARM Exception and interrupts
ARM Exception and interrupts NishmaNJ
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applicationselprocus
 
Advantages and disadvantages of zener regulator
Advantages and disadvantages of zener regulatorAdvantages and disadvantages of zener regulator
Advantages and disadvantages of zener regulatorParas Trivedi
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptDr.YNM
 
Encoder and decoder
Encoder and decoderEncoder and decoder
Encoder and decoderAbid Ali
 
Presentation on C Switch Case Statements
Presentation on C Switch Case StatementsPresentation on C Switch Case Statements
Presentation on C Switch Case StatementsDipesh Pandey
 

What's hot (20)

Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Digital Electronics - Counters
Digital Electronics - CountersDigital Electronics - Counters
Digital Electronics - Counters
 
verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gates
 
Flipflop
FlipflopFlipflop
Flipflop
 
Lect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute BeginnersLect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute Beginners
 
8051 Microcontroller ppt
8051 Microcontroller ppt8051 Microcontroller ppt
8051 Microcontroller ppt
 
VHDL
VHDLVHDL
VHDL
 
ARM Exception and interrupts
ARM Exception and interrupts ARM Exception and interrupts
ARM Exception and interrupts
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Preprocessor
PreprocessorPreprocessor
Preprocessor
 
FSM and ASM
FSM and ASMFSM and ASM
FSM and ASM
 
Sampling theorem
Sampling theoremSampling theorem
Sampling theorem
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
Advantages and disadvantages of zener regulator
Advantages and disadvantages of zener regulatorAdvantages and disadvantages of zener regulator
Advantages and disadvantages of zener regulator
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
8051 programming in c
8051 programming in c8051 programming in c
8051 programming in c
 
Encoder and decoder
Encoder and decoderEncoder and decoder
Encoder and decoder
 
Presentation on C Switch Case Statements
Presentation on C Switch Case StatementsPresentation on C Switch Case Statements
Presentation on C Switch Case Statements
 
Vhdl
VhdlVhdl
Vhdl
 
Embedded C - Optimization techniques
Embedded C - Optimization techniquesEmbedded C - Optimization techniques
Embedded C - Optimization techniques
 

Similar to Structural modelling

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdfarjuncollection
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLRevathi Subramaniam
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .inian2
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitNikhil Sahu
 
Practical file
Practical filePractical file
Practical filerajeevkr35
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDLYaser Kalifa
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxiconicyt2
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdlSai Malleswar
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalKrishna Gaihre
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairloyad20119
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)HoneyKumar34
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COdinganna university
 

Similar to Structural modelling (20)

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdf
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .
 
VHDL Programs
VHDL ProgramsVHDL Programs
VHDL Programs
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
Practical file
Practical filePractical file
Practical file
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdl
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
 
Fpga 1
Fpga 1Fpga 1
Fpga 1
 

More from Revathi Subramaniam

Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolRevathi Subramaniam
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysisRevathi Subramaniam
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Revathi Subramaniam
 

More from Revathi Subramaniam (20)

Introduction to embedded system
Introduction to embedded systemIntroduction to embedded system
Introduction to embedded system
 
Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocol
 
Application of embeddd system
Application of embeddd systemApplication of embeddd system
Application of embeddd system
 
Embedded development life cycle
Embedded development life cycleEmbedded development life cycle
Embedded development life cycle
 
Adaptive cruise control acc
Adaptive cruise control accAdaptive cruise control acc
Adaptive cruise control acc
 
Unsymmetrical fault analysis
Unsymmetrical fault analysisUnsymmetrical fault analysis
Unsymmetrical fault analysis
 
Structure of power system
Structure of power systemStructure of power system
Structure of power system
 
Restructuring
RestructuringRestructuring
Restructuring
 
Power flow analysis
Power flow analysisPower flow analysis
Power flow analysis
 
Per unit analysis
Per unit analysisPer unit analysis
Per unit analysis
 
Newton raphson method
Newton raphson methodNewton raphson method
Newton raphson method
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysis
 
Gauss seidel method
Gauss seidel methodGauss seidel method
Gauss seidel method
 
Fault analysis
Fault analysisFault analysis
Fault analysis
 
Fault analysis using z bus
Fault analysis using z busFault analysis using z bus
Fault analysis using z bus
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
 
Counters
CountersCounters
Counters
 
Digital logic families
Digital logic familiesDigital logic families
Digital logic families
 

Recently uploaded

VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 

Recently uploaded (20)

VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 

Structural modelling

  • 1. Contents:  Introduction  VHDL structural Modelling Programs –Full Adder, SR Flip flop, JK Flip flop & D flip flops Kongunadu College of Engineering & Technology Structural Modelling 1
  • 2. Structural Modelling  In structural design, a VHDL and a Verilog uses components or gates to model the system.  The important features of VHDL structural type of architecture body are:  Design hierarchy  Components are used  Each component is simulated separately.  In the structural modeling, an entity is described as a set of components connected by signals, that is, as a net list.  The architecture body is composed of two parts: the declarative part and the statement parts. Kongunadu College of Engineering & Technology Structural Modelling 2
  • 3. Contd… Full adder is taken as an example, Kongunadu College of Engineering & Technology Structural Modelling 3
  • 4. Program Library ieee; Use ieee.std_logic_1164.all; Entity full_add is port(A,B,Cin :in bit; Sum,Cout :out bit); end full_add; architecture adder of full_add is component xor3 port(I1,I2,I3:in bit; O1:out bit); end component; component and2 port(I1,I2:in bit; O1:out bit); end component; Kongunadu College of Engineering & Technology Structural Modelling 4
  • 5. Contd… component or3 port(I1,I2,I3:in bit; O1:out bit); end component; signal S1,S2,S3:bit begin Y1:xor3 port map (A, B, Cin, Sum); X1:and2 port map (A, B, S1); X2:and2 port map (A, Cin, S2); X3:and2 port map (B, Cin, S3); Y2:or3 port map (S1,S2,S3,Cout); end adder; Kongunadu College of Engineering & Technology Structural Modelling 5
  • 6. Structural description of pulse triggered SR Flip-flop Kongunadu College of Engineering & Technology Structural Modelling 6
  • 7. Program Library ieee; Use ieee.std_logic_1164.all; Entity SR_FF is port(S,R,CP:in std_logic; Q,Qbar:buffer std_logic); end SR_FF; architecture FF of SR_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; for all: nand2 use entity work.two input(nand2_7); signal S1,R1: std_logic; begin NA1:nand2 port map (S1,Qbar,Q); NA2: nand2 port map (Q ,R1,Qbar); NA3: nand2 port map (S ,CP,S1); NA4: nand2 port map (R ,CP,R1); end FF; Kongunadu College of Engineering & Technology Structural Modelling 7
  • 8. Structural description of pulse triggered D Flip-flop Library ieee; Use ieee.std_logic_1164.all; Entity D_FF is port(D,CP:in std_logic; Q,Qbar:buffer std_logic); end D_FF; architecture FF of D_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; Kongunadu College of Engineering & Technology Structural Modelling 8
  • 9. for all: nand2 use entity work.two input(nand2_7); signal S1,R,R1: std_logic; begin NA1:nand2 port map (D,CP,S1); NA2: nand2 port map (R,CP,R1); NA3: nand2 port map (D,D,R); NA4: nand2 port map (S1,Qbar,Q); NA5: nand2 port map (Q,R1,Qbar); end FF; Contd…. Kongunadu College of Engineering & Technology Structural Modelling 9
  • 10. Structural description of pulse triggered JK Flip- flop Kongunadu College of Engineering & Technology Structural Modelling 10
  • 11. Program Library ieee; Use ieee.std_logic_1164.all; Entity JK_FF is port(J,K,CP:in std_logic; Q,Qbar:buffer std_logic); end JK_FF; architecture FF of JK_FF is component nor2 port(I1,I2:in std_logic; O1:out std_logic); end component; component and3 port(I1,I2,I3:in std_logic; O1:out std_logic); end component;Kongunadu College of Engineering & Technology Structural Modelling 11
  • 12. Contd… for all: nor2 use entity work.two input(nor2_7); for all: and3 use entity work.three input(and3_7); signal R,S begin N1:nor2 port map (S,Q,Qbar); N2: nor2 port map (R,Qbar,Q); A1: and3 port map (Q,K,CP,R); A2: and3 port map (Qbar,J,CP,S); end FF; Kongunadu College of Engineering & Technology Structural Modelling 12
  • 13. Conclusion: The basics of structural modelling is explained in detail with examples. References: 1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013. 2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013. 3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015. 4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL, Cengage,2013. 5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016. 6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition 2016 7. Other Web Sources Kongunadu College of Engineering & Technology Structural Modelling 13