SlideShare a Scribd company logo
1 of 10
ASIC DESIGN
■ ASIC stands for “Application specific integrated circuit”
■ An ASIC (application-specific integrated circuit) is a microchip designed for a special
application, such as a particular kind of transmission protocol or a hand-held computer. You
might contrast it with general integrated circuits, such as the microprocessor and the
random access memory chips in your PC. ASICs are used in a wide-range of applications,
including auto emission control, environmental monitoring, and personal digital assistants.
■ An ASIC can be pre-manufactured for a special application or it can be custom manufactured
(typically using components from a "building block" library of components) for a particular
customer application.
■ We are talking about designing chips like shown below in the image. The black thingies with
the white text on it are integrated circuits or chips.
Front End Back End
1. RTL – RegisterTransfer Level
■ MRD (Market Research Department) – MRD collects the information from the market and finds
out what is the requirement in the market. It is necessary to have a MRD team because you can’t
start working on anything without knowing whether it is needed in the market or not.
■ Specification – The required specification for the required product is decide by specification team.
(Speed, Size, Power requirement, Pin width information etc)
■ Architecture – Complete layout of the required product is made.
■ Micro-Architecture – The complete layout is divided into sub block layout (because the complete
design is big). Now each block is given to RTL engineer.
■ RTL Engineer – RTL engineer writes RTL code for all sub block using different tools. The output
file generated by tool is known is netlist whose extension is (.v)
• RTL code can be write inVerilog as well asVHDL
• VHDL language is not in use anymore.
• Tools used are –Verlog XLCadence, Synopsy’sVCS, Model Sim
Note –
Netlist - In electronic design, a netlist is a description of the connectivity of an electronic circuit.
2.Verification Engineer
■ Verification engineer search for the bug in the netlist (.v) if there is any then the netlist
is send back to RTL engineer to debug the code. And this loop remains continues
unless the bug is removed.
■ Once the netlist is verified, it is send to check whether our design meets the design
goal or not. Design goal were already specified by the specification team.
■ If the design goal is met then the netlist is send for synthesis. If not, then it is send
back to verification engineer.
3. Synthesis
■ Synthesis – This process is conducted on the RTL code. This is the process whereby the RTL code
is converted into logic gates. The logic gate produced is the functional equivalent of the RTL
code as intended in the design. It is the process of converting the RTL code (.v)/netlist into gate
level netlist (GLN) or optimized gate level netlist. The input required for synthesis is netlist,
standard cell library file, and constraint file. Its output is gate level netlist.
■ LEC (Logical Equivalence Check) – It compare the netlist (.v) and gate level netlist to check
whether the functionality of both are same or not after synthesis.Tool used is “Conformal”
■ Pre-layout STA - Static timing analysis is performed before the layout is created. Since the
layout is not created, the wire delay, cell delay etc are not included during timing analysis. The
tool used for Pre-layout STA is Prime time,Tempus.
Note –
Standard Cell - A standard cell library is a collection of low-level electronic logic functions such as
AND, OR, INVERT, flip-flops, latches, and buffers. These cells are realized as fixed-height,
variable-width full-custom cells.
Constraint – Parameters which should not be change. Constraint file contains these parameters
with their values.
Wire delay – Due to the resistance of wire, the signal gets delayed.
4. Physical Design
■ APR – Automatic Place & Route
1. Floor Plan – Plan the core, die etc
2. Power Plan – Power lines are placed (Horizontally &Vertically)
3. Placement – Place the macros and standard cells.
4. CTS – Distribute the clock to all sequential circuit.
5. Routing – Connect all the macros, cell using conductor with the power lines.
Tools used for APR is – Encounter/Innovus
■ LEC (Logical Equivalence Check) – It compare the GLN (.v) and netlist obtained from APR to check
whether the functionality of both are same or not after APR.Tool used is “Conformal”
■ PEX (Parasitic Extraction) – The conductor (copper wire) used for routing purpose has parasitic
(Resistance and capacitance, RC) due to which there will be some delay in wire called wire delay so in
PEX we find the value RC. Tool used is Synopsys, Star XC. The required input file is netlist obtained from
APR, Rule file & mapping file, both the rule file and mapping file is provided by the fabrication house. The
output of PEX is SPEF/SDF file.
■ Post-layout STA – Static timing analysis is performed. The inputs are netlist(.v), library file, constraint
file(.sdc) and SPEF.The output is GDS.Tool used is Prime time,Tempus.
■ PhysicalVerification –Various verification tests are performed such as
1. Design Rule Check (DRC) – Spacing,Width, Overlapping etc. are check
Tool used is Calibre (Mentor Graphic),Assura (Cadence) &Virtuso (Cadence)
Input file is GDS & Rule deck file (contain all the restriction/limitation)
Output file is DRC error summary, GDS-II, Report
2. Layout v/s Schematic (LVS) – Compare the connection of layout (GDS) and
schematic (.v). Input file is GDS, Source netlist (.v) & Rule deck file (contain all the
restriction/limitation).Output file is LVS error summary, GDS-II, Report.There can
be 4 errors – Open, Short, Mismatch, Floating pins.
3. Electrical Rule Check (ERC) – It varies company to company.
■ Tapeout – GDS-II file is send to fabrication house.
Some usefulVLSI Blogs -
■ https://www.vlsiguide.com/
■ https://www.vlsisystemdesign.com/inception-content-vsd/
■ http://www.vlsi-expert.com/
■ http://vlsi.pro/
■ http://www.signoffsemi.com/blog/
■ http://www.vlsi-basics.com/
■ http://mantravlsi.blogspot.com/
■ http://vlsi-soc.blogspot.com/
■ http://asicpd.blogspot.com/
■ https://vlsiuniverse.blogspot.com/
■ http://www.vlsijunction.com/
■ https://vlsipd.blogspot.com/
■ http://vlsichip.blogspot.com/
■ http://asicforphysicaldesign.blogspot.com/
■ http://www.vlsifacts.com/
All Links are available
in the description.
THANKYOU
■ Download the ppt from the description.
■ Let me know on what other topics you need notes.

More Related Content

What's hot

What's hot (20)

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
On-Chip Variation
On-Chip VariationOn-Chip Variation
On-Chip Variation
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 

Similar to ASIC Design Flow | Physical Design | VLSI

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placementshaik sharief
 
Hari Krishna Vetsa Resume
Hari Krishna Vetsa ResumeHari Krishna Vetsa Resume
Hari Krishna Vetsa ResumeHari Krishna
 
06_1_design_flow.ppt
06_1_design_flow.ppt06_1_design_flow.ppt
06_1_design_flow.pptMohammedMianA
 
Sudheer vaddi Resume
Sudheer vaddi ResumeSudheer vaddi Resume
Sudheer vaddi ResumeSudheer Vaddi
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 
Tieng Nguyen resume
Tieng Nguyen resumeTieng Nguyen resume
Tieng Nguyen resumeTieng Nguyen
 
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).pptL12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).pptMikeTango5
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)NAGASAI547
 
Cockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with ElixirCockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with ElixirHideki Takase
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems DesignReza Sameni
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 

Similar to ASIC Design Flow | Physical Design | VLSI (20)

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
Vlsi
VlsiVlsi
Vlsi
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
VLSI
VLSIVLSI
VLSI
 
Hari Krishna Vetsa Resume
Hari Krishna Vetsa ResumeHari Krishna Vetsa Resume
Hari Krishna Vetsa Resume
 
VLSI
VLSIVLSI
VLSI
 
06_1_design_flow.ppt
06_1_design_flow.ppt06_1_design_flow.ppt
06_1_design_flow.ppt
 
Sudheer vaddi Resume
Sudheer vaddi ResumeSudheer vaddi Resume
Sudheer vaddi Resume
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Ch09 system administration
Ch09 system administration Ch09 system administration
Ch09 system administration
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
Tieng Nguyen resume
Tieng Nguyen resumeTieng Nguyen resume
Tieng Nguyen resume
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).pptL12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)
 
Cockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with ElixirCockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with Elixir
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems Design
 
nios.ppt
nios.pptnios.ppt
nios.ppt
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 

Recently uploaded

Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 

Recently uploaded (20)

Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 

ASIC Design Flow | Physical Design | VLSI

  • 2. ■ ASIC stands for “Application specific integrated circuit” ■ An ASIC (application-specific integrated circuit) is a microchip designed for a special application, such as a particular kind of transmission protocol or a hand-held computer. You might contrast it with general integrated circuits, such as the microprocessor and the random access memory chips in your PC. ASICs are used in a wide-range of applications, including auto emission control, environmental monitoring, and personal digital assistants. ■ An ASIC can be pre-manufactured for a special application or it can be custom manufactured (typically using components from a "building block" library of components) for a particular customer application. ■ We are talking about designing chips like shown below in the image. The black thingies with the white text on it are integrated circuits or chips.
  • 4. 1. RTL – RegisterTransfer Level ■ MRD (Market Research Department) – MRD collects the information from the market and finds out what is the requirement in the market. It is necessary to have a MRD team because you can’t start working on anything without knowing whether it is needed in the market or not. ■ Specification – The required specification for the required product is decide by specification team. (Speed, Size, Power requirement, Pin width information etc) ■ Architecture – Complete layout of the required product is made. ■ Micro-Architecture – The complete layout is divided into sub block layout (because the complete design is big). Now each block is given to RTL engineer. ■ RTL Engineer – RTL engineer writes RTL code for all sub block using different tools. The output file generated by tool is known is netlist whose extension is (.v) • RTL code can be write inVerilog as well asVHDL • VHDL language is not in use anymore. • Tools used are –Verlog XLCadence, Synopsy’sVCS, Model Sim Note – Netlist - In electronic design, a netlist is a description of the connectivity of an electronic circuit.
  • 5. 2.Verification Engineer ■ Verification engineer search for the bug in the netlist (.v) if there is any then the netlist is send back to RTL engineer to debug the code. And this loop remains continues unless the bug is removed. ■ Once the netlist is verified, it is send to check whether our design meets the design goal or not. Design goal were already specified by the specification team. ■ If the design goal is met then the netlist is send for synthesis. If not, then it is send back to verification engineer.
  • 6. 3. Synthesis ■ Synthesis – This process is conducted on the RTL code. This is the process whereby the RTL code is converted into logic gates. The logic gate produced is the functional equivalent of the RTL code as intended in the design. It is the process of converting the RTL code (.v)/netlist into gate level netlist (GLN) or optimized gate level netlist. The input required for synthesis is netlist, standard cell library file, and constraint file. Its output is gate level netlist. ■ LEC (Logical Equivalence Check) – It compare the netlist (.v) and gate level netlist to check whether the functionality of both are same or not after synthesis.Tool used is “Conformal” ■ Pre-layout STA - Static timing analysis is performed before the layout is created. Since the layout is not created, the wire delay, cell delay etc are not included during timing analysis. The tool used for Pre-layout STA is Prime time,Tempus. Note – Standard Cell - A standard cell library is a collection of low-level electronic logic functions such as AND, OR, INVERT, flip-flops, latches, and buffers. These cells are realized as fixed-height, variable-width full-custom cells. Constraint – Parameters which should not be change. Constraint file contains these parameters with their values. Wire delay – Due to the resistance of wire, the signal gets delayed.
  • 7. 4. Physical Design ■ APR – Automatic Place & Route 1. Floor Plan – Plan the core, die etc 2. Power Plan – Power lines are placed (Horizontally &Vertically) 3. Placement – Place the macros and standard cells. 4. CTS – Distribute the clock to all sequential circuit. 5. Routing – Connect all the macros, cell using conductor with the power lines. Tools used for APR is – Encounter/Innovus ■ LEC (Logical Equivalence Check) – It compare the GLN (.v) and netlist obtained from APR to check whether the functionality of both are same or not after APR.Tool used is “Conformal” ■ PEX (Parasitic Extraction) – The conductor (copper wire) used for routing purpose has parasitic (Resistance and capacitance, RC) due to which there will be some delay in wire called wire delay so in PEX we find the value RC. Tool used is Synopsys, Star XC. The required input file is netlist obtained from APR, Rule file & mapping file, both the rule file and mapping file is provided by the fabrication house. The output of PEX is SPEF/SDF file. ■ Post-layout STA – Static timing analysis is performed. The inputs are netlist(.v), library file, constraint file(.sdc) and SPEF.The output is GDS.Tool used is Prime time,Tempus.
  • 8. ■ PhysicalVerification –Various verification tests are performed such as 1. Design Rule Check (DRC) – Spacing,Width, Overlapping etc. are check Tool used is Calibre (Mentor Graphic),Assura (Cadence) &Virtuso (Cadence) Input file is GDS & Rule deck file (contain all the restriction/limitation) Output file is DRC error summary, GDS-II, Report 2. Layout v/s Schematic (LVS) – Compare the connection of layout (GDS) and schematic (.v). Input file is GDS, Source netlist (.v) & Rule deck file (contain all the restriction/limitation).Output file is LVS error summary, GDS-II, Report.There can be 4 errors – Open, Short, Mismatch, Floating pins. 3. Electrical Rule Check (ERC) – It varies company to company. ■ Tapeout – GDS-II file is send to fabrication house.
  • 9. Some usefulVLSI Blogs - ■ https://www.vlsiguide.com/ ■ https://www.vlsisystemdesign.com/inception-content-vsd/ ■ http://www.vlsi-expert.com/ ■ http://vlsi.pro/ ■ http://www.signoffsemi.com/blog/ ■ http://www.vlsi-basics.com/ ■ http://mantravlsi.blogspot.com/ ■ http://vlsi-soc.blogspot.com/ ■ http://asicpd.blogspot.com/ ■ https://vlsiuniverse.blogspot.com/ ■ http://www.vlsijunction.com/ ■ https://vlsipd.blogspot.com/ ■ http://vlsichip.blogspot.com/ ■ http://asicforphysicaldesign.blogspot.com/ ■ http://www.vlsifacts.com/ All Links are available in the description.
  • 10. THANKYOU ■ Download the ppt from the description. ■ Let me know on what other topics you need notes.