SlideShare a Scribd company logo
1 of 26
Design Flow – Computation Flow
2
Computation Flow
• For both run-time
and compile-time
• For some
applications, must
iterate
3
 If many reconfigurations have to be
done, then some of the steps should
be reiterated according to the
application's need.
 A synchronization mechanism is
usually used between the processor
and the RD.
 Blocking access should also be
used for the memory access
between the two devices.
Computation flow
4
 Devices like the Xilinx Virtex II/II-
Pro up and the Altera Excalibur
feature one or more soft or hard-
macro processors.
− The complete system can be
integrated in only one device.
 The reconfiguration process can
be:
− Full: The complete device have to
be reconfigured.
− Partial: Only part of the device is
configured while the rest keeps
running.
Computation flow
5
 Full reconfiguration devices
− Function to be downloaded at run-time are
developed and stored in a database.
− No geometrical constraints restriction are
required for the function.
 Partial reconfiguration capabilities
− Modules represented as rectangular
boxes, are pre-computed and stored in a
data base.
− With relocation, the modules are assigned
to a position on the device at run-time.
Services
task 1
task 2
task N
Placer
M2
M4
M3
M1
Module
Database
Scheduler
Task Request
O.S.
T1
T
N
Reconfigurable Device
T2
Computation flow
6
RTR Challenges
• Management of Reconf. Device:
− Usually as a part of the OS running on a
processor
 Scheduler
− Decides when a task must be executed
− Tasks in a database
− Characterized by (bbox, run time)
 Placer
− Temporal placement: management of tasks at
run time
− Allocates a set of resources for the task.
− If cannot find a site, task is rejected
• Challenges:
 Fragmentation
 Communication between new/old tasks
Services
task 1
task 2
task N
Placer
M2
M4
M3
M1
Module
Database
Scheduler
Task Request
O.S.
T1
T
N
Reconfigurable Device
T2
Design Flow
8
• Implementation of a reconfigurable
system:
 a Hardware/software co-design
process:
• Software part: (code-segment to be
executed on the processor)
 Development in a software
language with common tools
• Hardware part: (to be executed on the
RD)
 Development in HDL
• Interface:
 HDL or system-level languages
Software
C, C++, Java
etc ...
Hardware
VHDL, Verilog
HandelC, etc..
Interface
Hardware/Software Partitioning
9
FPGA Architecture
• FPGA architecture from CAD tools’ point of view:
 N BLE’s (Basic Logic Element)
 K-LUT: k-input LUT
 I inputs, N outputs
 Inputs and outputs fully connected to the inputs of each LUT
through MUXes
10
Design Flow for H/w Part
 Almost the same for all digital
circuit design
• Synthesis
 Different particularly in Technology
mapping
− LUT-technology mapping
− Specific to target technology (device)
11
Design Flow for H/w Part
• Design Entry
 Schematic Netlist
 HDL
 Waveform
 State Diagram
12
Textual or Schematic
• Most people today use textual languages rather than schematic
 Poor use of screen space.
 Not appropriate for large designs.
 Hard tooling (parsing).
13
What is Synthesis?
• Transformation of an
abstract description into a
more detailed description
 "+" operator is
transformed into a gate
netlist
 "if (VEC_A = VEC_B)
then"
 a comparator which
controls a multiplexer
• Transformation depends on
several factors:
 Algorithm, constraints,
library
•
‫ساده‬‫عملگرهاي‬
(
‫مثل‬
AND
،
OR
‫مقايسه‬،
)
‫پيچ‬‫عملگرهاي‬‫اما‬‫شوند‬‫مي‬‫تبديل‬‫مشخصي‬‫گيتهاي‬‫به‬
‫مثل‬‫تر‬‫يده‬
‫ن‬‫ا‬ ‫خاص‬‫ماکروسلهاي‬‫به‬‫ابتدا‬‫ضرب‬
tool
‫شوند‬‫مي‬‫تبديل‬
.
14
Synthesizability
• Only a subset of VHDL is
synthesizable
• Different tools support different
subsets
 records?
 arrays of integers?
 clock edge detection?
 sensitivity list?
 ...
15
• Compilation and optimization:
 All non-synthesizable data types and
operations  synthesizable code
 Translated into a set of Boolean equations
 Then minimized (Technology-independent
optimization)
• Technology mapping:
 Assign functional modules to library elements.
 On FPGAs:
− Mapping control logic and datapath to LUTs and BLEs
− Mapping optimized datapath to on-chip dedicated
circuit structures (e.g. on-chip multipliers, adders with
dedicated carry-chains, embedded memory blocks)
 Technology-dependent optimization
Synthesis
16
• Result:
 Netlist: a list of components and their
interconnections.
• Netlist Formats:
 EDIF (Electronic Design Interchange Format).
 Vendor specific formats.
− Example: XNF (Xilinx Netlist Format)
Synthesis
17
• Place:
 Assign locations to the components
 In hierarchical architectures:
− May need a separate clustering step: to group BLEs into
logic blocks
− Clustering: prior to placement or during placement
• Route:
 Provide communication paths to the
interconnections.
• Optimization problems: some cost must be minimized
• Important factors:
 Clock frequency
 Power Consumption
 Routing congestion
 ...
Physical Design: Place and Route
18
FPGA Placement & Routing
19
Field Programmable Gate Array (FPGA)
20
• Bitstream:
 LUT contents,
 Multiplexer control lines,
 Interconnections,
 ….
Configuration Bitstream
21
Design Flow
•
Debug
‫نويسي‬‫نامه‬‫ر‬‫ب‬‫سيکل‬‫مانند‬‫طرح‬
:
‫کامپايل‬ ‫ا‬‫ر‬‫اج‬
‫نويسي‬‫نامه‬‫ر‬‫ب‬
‫ايش‬‫ر‬‫وي‬
‫کامپايل‬ ‫ي‬‫ساز‬‫شبيه‬
‫طرح‬ ‫ود‬‫ر‬‫و‬
‫ايش‬‫ر‬‫وي‬
‫سنتز‬ ‫ي‬‫ساز‬‫شبيه‬
‫ايش‬‫ر‬‫وي‬
22
• Design:
 Modulo 10-counter
• Target device:
 FPGA with 2x2 Logic Blocks (LB)
 LBs:
− Two 2-inputs LUTs
− Two edge-triggered T-Flipflops
• Objectives:
 Area
 Latency
FPGA Design Flow – Example
23
• Truth table:
 State transitions
 TFF inputs
FPGA Design Flow – Example
• Synthesis and Optimization:
 Karnaugh maps
24
FPGA Design Flow – Example
25
FPGA Design Flow – Example
26
References
 [Bobda07] C. Bobda, “Introduction to Reconfigurable
Computing: Architectures, Algorithms and
Applications,” Springer, 2007.

More Related Content

Similar to 06_1_design_flow.ppt

System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Ravi Sony
 
DACHSview++features
DACHSview++featuresDACHSview++features
DACHSview++featuresA. Steinhoff
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Introduction to embedded system design
Introduction to embedded system designIntroduction to embedded system design
Introduction to embedded system designMukesh Bansal
 
Maxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorialMaxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorialmadhuinturi
 
Juniper Networks Router Architecture
Juniper Networks Router ArchitectureJuniper Networks Router Architecture
Juniper Networks Router Architecturelawuah
 
PLC and Industrial Automation - Technology Overview
PLC and Industrial Automation - Technology OverviewPLC and Industrial Automation - Technology Overview
PLC and Industrial Automation - Technology OverviewNereus Fernandes
 
Embedded system architecture.pptx
Embedded system architecture.pptxEmbedded system architecture.pptx
Embedded system architecture.pptxAltafKaroshi
 
Chap 2 classification of parralel architecture and introduction to parllel p...
Chap 2  classification of parralel architecture and introduction to parllel p...Chap 2  classification of parralel architecture and introduction to parllel p...
Chap 2 classification of parralel architecture and introduction to parllel p...Malobe Lottin Cyrille Marcel
 
Cpld and fpga mod vi
Cpld and fpga   mod viCpld and fpga   mod vi
Cpld and fpga mod viAgi George
 
Embedded systems ppt
Embedded systems pptEmbedded systems ppt
Embedded systems pptAshok Mutyala
 

Similar to 06_1_design_flow.ppt (20)

System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 
plc8-200417125816.pdf
plc8-200417125816.pdfplc8-200417125816.pdf
plc8-200417125816.pdf
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
DACHSview++features
DACHSview++featuresDACHSview++features
DACHSview++features
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
esunit1.pptx
esunit1.pptxesunit1.pptx
esunit1.pptx
 
4_SDN.pdf
4_SDN.pdf4_SDN.pdf
4_SDN.pdf
 
FP7 PACE PCE Tutorial
FP7 PACE PCE TutorialFP7 PACE PCE Tutorial
FP7 PACE PCE Tutorial
 
RCW@DEI - Design Flow 4 SoPc
RCW@DEI - Design Flow 4 SoPcRCW@DEI - Design Flow 4 SoPc
RCW@DEI - Design Flow 4 SoPc
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
chameleon chip
chameleon chipchameleon chip
chameleon chip
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Introduction to embedded system design
Introduction to embedded system designIntroduction to embedded system design
Introduction to embedded system design
 
Maxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorialMaxwell siuc hpc_description_tutorial
Maxwell siuc hpc_description_tutorial
 
Juniper Networks Router Architecture
Juniper Networks Router ArchitectureJuniper Networks Router Architecture
Juniper Networks Router Architecture
 
PLC and Industrial Automation - Technology Overview
PLC and Industrial Automation - Technology OverviewPLC and Industrial Automation - Technology Overview
PLC and Industrial Automation - Technology Overview
 
Embedded system architecture.pptx
Embedded system architecture.pptxEmbedded system architecture.pptx
Embedded system architecture.pptx
 
Chap 2 classification of parralel architecture and introduction to parllel p...
Chap 2  classification of parralel architecture and introduction to parllel p...Chap 2  classification of parralel architecture and introduction to parllel p...
Chap 2 classification of parralel architecture and introduction to parllel p...
 
Cpld and fpga mod vi
Cpld and fpga   mod viCpld and fpga   mod vi
Cpld and fpga mod vi
 
Embedded systems ppt
Embedded systems pptEmbedded systems ppt
Embedded systems ppt
 

More from MohammedMianA

More from MohammedMianA (9)

LOW POWER VLSI.pptx
LOW POWER VLSI.pptxLOW POWER VLSI.pptx
LOW POWER VLSI.pptx
 
Optical sources.pptx
Optical sources.pptxOptical sources.pptx
Optical sources.pptx
 
characteristics of ES.pptx
characteristics of ES.pptxcharacteristics of ES.pptx
characteristics of ES.pptx
 
satellite1.pptx
satellite1.pptxsatellite1.pptx
satellite1.pptx
 
optical fibres.pptx
optical fibres.pptxoptical fibres.pptx
optical fibres.pptx
 
FPGA+02.pptx
FPGA+02.pptxFPGA+02.pptx
FPGA+02.pptx
 
EC6601.pdf
EC6601.pdfEC6601.pdf
EC6601.pdf
 
unit-e28093-iii.pptx
unit-e28093-iii.pptxunit-e28093-iii.pptx
unit-e28093-iii.pptx
 
unit-v.pptx
unit-v.pptxunit-v.pptx
unit-v.pptx
 

Recently uploaded

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).pptssuser5c9d4b1
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 

Recently uploaded (20)

(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
247267395-1-Symmetric-and-distributed-shared-memory-architectures-ppt (1).ppt
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 

06_1_design_flow.ppt

  • 1. Design Flow – Computation Flow
  • 2. 2 Computation Flow • For both run-time and compile-time • For some applications, must iterate
  • 3. 3  If many reconfigurations have to be done, then some of the steps should be reiterated according to the application's need.  A synchronization mechanism is usually used between the processor and the RD.  Blocking access should also be used for the memory access between the two devices. Computation flow
  • 4. 4  Devices like the Xilinx Virtex II/II- Pro up and the Altera Excalibur feature one or more soft or hard- macro processors. − The complete system can be integrated in only one device.  The reconfiguration process can be: − Full: The complete device have to be reconfigured. − Partial: Only part of the device is configured while the rest keeps running. Computation flow
  • 5. 5  Full reconfiguration devices − Function to be downloaded at run-time are developed and stored in a database. − No geometrical constraints restriction are required for the function.  Partial reconfiguration capabilities − Modules represented as rectangular boxes, are pre-computed and stored in a data base. − With relocation, the modules are assigned to a position on the device at run-time. Services task 1 task 2 task N Placer M2 M4 M3 M1 Module Database Scheduler Task Request O.S. T1 T N Reconfigurable Device T2 Computation flow
  • 6. 6 RTR Challenges • Management of Reconf. Device: − Usually as a part of the OS running on a processor  Scheduler − Decides when a task must be executed − Tasks in a database − Characterized by (bbox, run time)  Placer − Temporal placement: management of tasks at run time − Allocates a set of resources for the task. − If cannot find a site, task is rejected • Challenges:  Fragmentation  Communication between new/old tasks Services task 1 task 2 task N Placer M2 M4 M3 M1 Module Database Scheduler Task Request O.S. T1 T N Reconfigurable Device T2
  • 8. 8 • Implementation of a reconfigurable system:  a Hardware/software co-design process: • Software part: (code-segment to be executed on the processor)  Development in a software language with common tools • Hardware part: (to be executed on the RD)  Development in HDL • Interface:  HDL or system-level languages Software C, C++, Java etc ... Hardware VHDL, Verilog HandelC, etc.. Interface Hardware/Software Partitioning
  • 9. 9 FPGA Architecture • FPGA architecture from CAD tools’ point of view:  N BLE’s (Basic Logic Element)  K-LUT: k-input LUT  I inputs, N outputs  Inputs and outputs fully connected to the inputs of each LUT through MUXes
  • 10. 10 Design Flow for H/w Part  Almost the same for all digital circuit design • Synthesis  Different particularly in Technology mapping − LUT-technology mapping − Specific to target technology (device)
  • 11. 11 Design Flow for H/w Part • Design Entry  Schematic Netlist  HDL  Waveform  State Diagram
  • 12. 12 Textual or Schematic • Most people today use textual languages rather than schematic  Poor use of screen space.  Not appropriate for large designs.  Hard tooling (parsing).
  • 13. 13 What is Synthesis? • Transformation of an abstract description into a more detailed description  "+" operator is transformed into a gate netlist  "if (VEC_A = VEC_B) then"  a comparator which controls a multiplexer • Transformation depends on several factors:  Algorithm, constraints, library • ‫ساده‬‫عملگرهاي‬ ( ‫مثل‬ AND ، OR ‫مقايسه‬، ) ‫پيچ‬‫عملگرهاي‬‫اما‬‫شوند‬‫مي‬‫تبديل‬‫مشخصي‬‫گيتهاي‬‫به‬ ‫مثل‬‫تر‬‫يده‬ ‫ن‬‫ا‬ ‫خاص‬‫ماکروسلهاي‬‫به‬‫ابتدا‬‫ضرب‬ tool ‫شوند‬‫مي‬‫تبديل‬ .
  • 14. 14 Synthesizability • Only a subset of VHDL is synthesizable • Different tools support different subsets  records?  arrays of integers?  clock edge detection?  sensitivity list?  ...
  • 15. 15 • Compilation and optimization:  All non-synthesizable data types and operations  synthesizable code  Translated into a set of Boolean equations  Then minimized (Technology-independent optimization) • Technology mapping:  Assign functional modules to library elements.  On FPGAs: − Mapping control logic and datapath to LUTs and BLEs − Mapping optimized datapath to on-chip dedicated circuit structures (e.g. on-chip multipliers, adders with dedicated carry-chains, embedded memory blocks)  Technology-dependent optimization Synthesis
  • 16. 16 • Result:  Netlist: a list of components and their interconnections. • Netlist Formats:  EDIF (Electronic Design Interchange Format).  Vendor specific formats. − Example: XNF (Xilinx Netlist Format) Synthesis
  • 17. 17 • Place:  Assign locations to the components  In hierarchical architectures: − May need a separate clustering step: to group BLEs into logic blocks − Clustering: prior to placement or during placement • Route:  Provide communication paths to the interconnections. • Optimization problems: some cost must be minimized • Important factors:  Clock frequency  Power Consumption  Routing congestion  ... Physical Design: Place and Route
  • 20. 20 • Bitstream:  LUT contents,  Multiplexer control lines,  Interconnections,  …. Configuration Bitstream
  • 21. 21 Design Flow • Debug ‫نويسي‬‫نامه‬‫ر‬‫ب‬‫سيکل‬‫مانند‬‫طرح‬ : ‫کامپايل‬ ‫ا‬‫ر‬‫اج‬ ‫نويسي‬‫نامه‬‫ر‬‫ب‬ ‫ايش‬‫ر‬‫وي‬ ‫کامپايل‬ ‫ي‬‫ساز‬‫شبيه‬ ‫طرح‬ ‫ود‬‫ر‬‫و‬ ‫ايش‬‫ر‬‫وي‬ ‫سنتز‬ ‫ي‬‫ساز‬‫شبيه‬ ‫ايش‬‫ر‬‫وي‬
  • 22. 22 • Design:  Modulo 10-counter • Target device:  FPGA with 2x2 Logic Blocks (LB)  LBs: − Two 2-inputs LUTs − Two edge-triggered T-Flipflops • Objectives:  Area  Latency FPGA Design Flow – Example
  • 23. 23 • Truth table:  State transitions  TFF inputs FPGA Design Flow – Example • Synthesis and Optimization:  Karnaugh maps
  • 24. 24 FPGA Design Flow – Example
  • 25. 25 FPGA Design Flow – Example
  • 26. 26 References  [Bobda07] C. Bobda, “Introduction to Reconfigurable Computing: Architectures, Algorithms and Applications,” Springer, 2007.