SlideShare a Scribd company logo
1 of 21
Download to read offline
Sequential Circuits
Siavash Kavousi
Parham Alvani
Amirkabir
University of Technology
Computer & InformationTechnology
Engineering Department
Outline
VHDL
• Operators
• Sequential
Circuits
VHDL Operators
VHDL Operators
xnor xor nor nand or and Logical
>= > <= < /= = Relational
ror rol sra sla srl sll Shift
& - + Addition
- + Sign
rem mod / * Multiplicative
not abs ** Etc
4
– bit, bit-vector, boolean
– Std-logic, std-logic vector
entity OPR_EX_E is
port( X,Y : in bit;
Z : out bit);
end entity OPR_EX_E;
architecture OPR_EX_A of OPR_EX_E is
signal DATA_BUS1, DATA_BUS2, DATA_BUS3 : std_logic_vector(7
downto 0);
begin
Z <= X and Y;
DATA_BUS3 <= DATA_BUS1 xor DATA_BUS2;
end architecture OPR_EX_A;
Boolean Operation
variable A : bit_vector(2 downto 0);
variable B : bit_vector(0 to 2);
if(A(2) > B(0) or
((A(2) = B(0))and(A(1) > B(1)))or
((A(2) = B(0))and(A(1) = B(1))and(A(0) > B(2))))then ;
variable A : bit_vector(2 downto 0);
variable B : bit_vector(0 to 2);
if(A > B)then ;
Relational Operators
• Logical Shift
• Arithmetic Shift
• Rotation
Shift Operators
⋮
signal S_A1, S_A2 : bit_vector (3 downto 0);
signal S_A3 : bit_vector (7 downto 0);
signal S1, S2, S3 : bit;
⋮
S_A3 <= S_A1 & S_A2;
S_A1 <= S1 & S2 & ‘0’ & S3;
S_A3 <= S_A1 & S1 & ‘1’ & S2 & S3;
S_A3 <= S_A1 & “0000”;
Additional Operators
Sequential Circuits
Sequential circuits
• Circuits that perform a computation in
multiple steps (clock cycles)
Memory registers
Combinational circuits
• Intermediate results are held in registers and
transfered from register-to-register using
combinational circuits
How can we write sequentially in VHDL?
with
process statements
☺
What is a process?
A process statement is a concurrent statement, but all
statements contained in it are sequential statement
(statements that executes serially, one after the other).
Flip Flop (FF)
• DFF
process (CLK)


begin

if (CLK’event and CLK = ’1’) then

Q <= D;

end if;

end process;



process

begin

wait until rising_edge(CLK);

Q <= D;

end process;
D
CLK
Q
FF with reset
• Synchronous
process (CLK, RST)

begin

if (RST = '1') then

Q <= '0';

elsif (CLK'event and CLK = '1') then

Q <= D;

end if;

end process;
D
CLK
Q
RST
FF with reset
• Asynchronous
process (CLK)

begin

if (CLK'event and CLK = '1') then

if (RST = '1') then

Q <= '0';

else

Q <= D;

end if;

end if;

end process;
D
CLK
Q
RST
FF with reset and enable
• Synchronous
• Asynchronous
process

begin

wait until rising_edge(CLK) and CLKEN = ’1’;

Q <= D;

end process;
D
CLK
Q
RST
FF with reset and enable
architecture …

begin

signal A, B, C;

process (CLK)

begin

if (CLK'event and CLK = '0') then

C <= A * B;

end if;

end process;

…

end architecture;
D
CLK
Q
RST
Example #1
A
CLK
C
RST
B
References
• Zainalabedin Navabi, “Verilog Digital System Design”, 2th edition.
• Zainalabedin Navabi, “VHDL modular Design and Synthesis of cores and
Systems”, 3th edition.
• Morteza Saheb Zamani, FPGA course slides, Spring 2016.
Siavash Kavousi
Parham Alvani
Thank you !
Any Questions ?

More Related Content

What's hot

Programmable array logic
Programmable array logicProgrammable array logic
Programmable array logicGaditek
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDLMohamed Samy
 
programmable logic array
programmable logic arrayprogrammable logic array
programmable logic arrayShiraz Azeem
 
MICROPROCESSORS AND MICROCONTROLLERS
MICROPROCESSORS AND MICROCONTROLLERSMICROPROCESSORS AND MICROCONTROLLERS
MICROPROCESSORS AND MICROCONTROLLERSselvakumar948
 
Microprocessor Interfacing and 8155 Features
Microprocessor Interfacing and 8155 FeaturesMicroprocessor Interfacing and 8155 Features
Microprocessor Interfacing and 8155 FeaturesSrikrishna Thota
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL Amr Rashed
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Coreijsrd.com
 
25. 8255 programmable peripheral interface
25. 8255 programmable peripheral interface25. 8255 programmable peripheral interface
25. 8255 programmable peripheral interfacesandip das
 
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction setSaumitra Rukmangad
 

What's hot (20)

Vhdl
VhdlVhdl
Vhdl
 
Lec14
Lec14Lec14
Lec14
 
Programmable array logic
Programmable array logicProgrammable array logic
Programmable array logic
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
programmable logic array
programmable logic arrayprogrammable logic array
programmable logic array
 
PIC and LCD
PIC and LCDPIC and LCD
PIC and LCD
 
Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
 
Lec12
Lec12Lec12
Lec12
 
MICROPROCESSORS AND MICROCONTROLLERS
MICROPROCESSORS AND MICROCONTROLLERSMICROPROCESSORS AND MICROCONTROLLERS
MICROPROCESSORS AND MICROCONTROLLERS
 
Abc2
Abc2Abc2
Abc2
 
Microprocessor Interfacing and 8155 Features
Microprocessor Interfacing and 8155 FeaturesMicroprocessor Interfacing and 8155 Features
Microprocessor Interfacing and 8155 Features
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
25. 8255 programmable peripheral interface
25. 8255 programmable peripheral interface25. 8255 programmable peripheral interface
25. 8255 programmable peripheral interface
 
set de instrucciones primera parte de atmel
set de instrucciones primera parte de atmelset de instrucciones primera parte de atmel
set de instrucciones primera parte de atmel
 
DIGITAL ELECTRONICS LAB
DIGITAL ELECTRONICS LABDIGITAL ELECTRONICS LAB
DIGITAL ELECTRONICS LAB
 
Structural modelling
Structural modellingStructural modelling
Structural modelling
 
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
 
8 Bit ALU
8 Bit ALU8 Bit ALU
8 Bit ALU
 

Viewers also liked

Viewers also liked (6)

Smart parking
Smart parkingSmart parking
Smart parking
 
LEGO Reinventing (E-)Commerce (business model change)
LEGO Reinventing (E-)Commerce (business model change)LEGO Reinventing (E-)Commerce (business model change)
LEGO Reinventing (E-)Commerce (business model change)
 
Graphene farzan
Graphene farzanGraphene farzan
Graphene farzan
 
Oled
OledOled
Oled
 
Virtual Memory
Virtual MemoryVirtual Memory
Virtual Memory
 
An introductiontoquantumcomputing
An introductiontoquantumcomputingAn introductiontoquantumcomputing
An introductiontoquantumcomputing
 

Similar to Sequential Circuits and VHDL Processes for Register Transfers

session 3a Hardware description language
session 3a Hardware description languagesession 3a Hardware description language
session 3a Hardware description languagedhananjeyanrece
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdlSai Malleswar
 
W8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorW8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorDaniel Roggen
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsIndira Priyadarshini
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdlNeeraj Gupta
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...ESUG
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocksNima Shafiee
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECERamesh Naik Bhukya
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfsagar414433
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfsagar414433
 

Similar to Sequential Circuits and VHDL Processes for Register Transfers (20)

Vhdl programs
Vhdl programsVhdl programs
Vhdl programs
 
session 3a Hardware description language
session 3a Hardware description languagesession 3a Hardware description language
session 3a Hardware description language
 
Hd2
Hd2Hd2
Hd2
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdl
 
Uart
UartUart
Uart
 
W8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational ProcessorW8_2: Inside the UoS Educational Processor
W8_2: Inside the UoS Educational Processor
 
Digital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential CircuitsDigital System Design-Synchronous Sequential Circuits
Digital System Design-Synchronous Sequential Circuits
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
 
Fpga 1
Fpga 1Fpga 1
Fpga 1
 
Fpga creating counter with internal clock
Fpga   creating counter with internal clockFpga   creating counter with internal clock
Fpga creating counter with internal clock
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
vhdll.docx
vhdll.docxvhdll.docx
vhdll.docx
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Verilog Cheat sheet-2 (1).pdf
Verilog Cheat sheet-2 (1).pdfVerilog Cheat sheet-2 (1).pdf
Verilog Cheat sheet-2 (1).pdf
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdf
 
Verilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdfVerilog_Cheat_sheet_1672542963.pdf
Verilog_Cheat_sheet_1672542963.pdf
 
m4_VHDL_ED.pdf
m4_VHDL_ED.pdfm4_VHDL_ED.pdf
m4_VHDL_ED.pdf
 

More from Farzan Dehbashi

More from Farzan Dehbashi (10)

afghanistan_history.pptx
afghanistan_history.pptxafghanistan_history.pptx
afghanistan_history.pptx
 
Afghanistan
AfghanistanAfghanistan
Afghanistan
 
Quantum computing
Quantum computingQuantum computing
Quantum computing
 
Sayeh extension(v23)
Sayeh extension(v23)Sayeh extension(v23)
Sayeh extension(v23)
 
C compiler(final)
C compiler(final)C compiler(final)
C compiler(final)
 
Sayeh basic computer
Sayeh basic computerSayeh basic computer
Sayeh basic computer
 
Tabobao Reinventing (E-)Commerce
Tabobao Reinventing (E-)CommerceTabobao Reinventing (E-)Commerce
Tabobao Reinventing (E-)Commerce
 
OLED
OLEDOLED
OLED
 
An intro to VHDL
An intro to VHDLAn intro to VHDL
An intro to VHDL
 
quantum computing
quantum computingquantum computing
quantum computing
 

Recently uploaded

Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 

Recently uploaded (20)

Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 

Sequential Circuits and VHDL Processes for Register Transfers

  • 1. Sequential Circuits Siavash Kavousi Parham Alvani Amirkabir University of Technology Computer & InformationTechnology Engineering Department
  • 4. VHDL Operators xnor xor nor nand or and Logical >= > <= < /= = Relational ror rol sra sla srl sll Shift & - + Addition - + Sign rem mod / * Multiplicative not abs ** Etc 4
  • 5. – bit, bit-vector, boolean – Std-logic, std-logic vector entity OPR_EX_E is port( X,Y : in bit; Z : out bit); end entity OPR_EX_E; architecture OPR_EX_A of OPR_EX_E is signal DATA_BUS1, DATA_BUS2, DATA_BUS3 : std_logic_vector(7 downto 0); begin Z <= X and Y; DATA_BUS3 <= DATA_BUS1 xor DATA_BUS2; end architecture OPR_EX_A; Boolean Operation
  • 6. variable A : bit_vector(2 downto 0); variable B : bit_vector(0 to 2); if(A(2) > B(0) or ((A(2) = B(0))and(A(1) > B(1)))or ((A(2) = B(0))and(A(1) = B(1))and(A(0) > B(2))))then ; variable A : bit_vector(2 downto 0); variable B : bit_vector(0 to 2); if(A > B)then ; Relational Operators
  • 7. • Logical Shift • Arithmetic Shift • Rotation Shift Operators
  • 8. ⋮ signal S_A1, S_A2 : bit_vector (3 downto 0); signal S_A3 : bit_vector (7 downto 0); signal S1, S2, S3 : bit; ⋮ S_A3 <= S_A1 & S_A2; S_A1 <= S1 & S2 & ‘0’ & S3; S_A3 <= S_A1 & S1 & ‘1’ & S2 & S3; S_A3 <= S_A1 & “0000”; Additional Operators
  • 10. Sequential circuits • Circuits that perform a computation in multiple steps (clock cycles) Memory registers Combinational circuits • Intermediate results are held in registers and transfered from register-to-register using combinational circuits
  • 11. How can we write sequentially in VHDL? with process statements ☺
  • 12. What is a process? A process statement is a concurrent statement, but all statements contained in it are sequential statement (statements that executes serially, one after the other).
  • 13. Flip Flop (FF) • DFF process (CLK) 
 begin
 if (CLK’event and CLK = ’1’) then
 Q <= D;
 end if;
 end process;
 
 process
 begin
 wait until rising_edge(CLK);
 Q <= D;
 end process; D CLK Q
  • 14. FF with reset • Synchronous process (CLK, RST)
 begin
 if (RST = '1') then
 Q <= '0';
 elsif (CLK'event and CLK = '1') then
 Q <= D;
 end if;
 end process; D CLK Q RST
  • 15. FF with reset • Asynchronous process (CLK)
 begin
 if (CLK'event and CLK = '1') then
 if (RST = '1') then
 Q <= '0';
 else
 Q <= D;
 end if;
 end if;
 end process; D CLK Q RST
  • 16. FF with reset and enable • Synchronous • Asynchronous process
 begin
 wait until rising_edge(CLK) and CLKEN = ’1’;
 Q <= D;
 end process; D CLK Q RST
  • 17. FF with reset and enable architecture …
 begin
 signal A, B, C;
 process (CLK)
 begin
 if (CLK'event and CLK = '0') then
 C <= A * B;
 end if;
 end process;
 …
 end architecture; D CLK Q RST
  • 20. • Zainalabedin Navabi, “Verilog Digital System Design”, 2th edition. • Zainalabedin Navabi, “VHDL modular Design and Synthesis of cores and Systems”, 3th edition. • Morteza Saheb Zamani, FPGA course slides, Spring 2016.
  • 21. Siavash Kavousi Parham Alvani Thank you ! Any Questions ?