SlideShare a Scribd company logo
Description
Please contact us if you are interested in the following items. These items are only for end users and are subject to
sale without notice. Appreciate your time.
1. Pfeiffer TMU1000MPC Turbo drag Pump
2. Nanometrics 3000 thin film analyzer
3. Optronic Laboratories OL770-LED VIS/NIR Sprectroradiometer
4. Agilent 4155C
5. Stanford Research QMS200 Residual Gas Analyzer 0-200amu
6. Surfx Technologies Atmospheric Plasma Generator
7. Metricon 2010
8. Newport PM500-33LR0120 XY-Theta Stage
9. Nanometrics 210 Thin Film Measurement Tool
10. Nikon Eclipse L150 Inspection Microscope
11. Burleigh WA-7600 wavemeter
12. Burleigh WA-7100 Wavemeter
13. Leitz Ergolux microscope with motorized stage and focus
14. MacGregor DC 1001P welding power supply
15. Leica MZ9.5 stereozoom with motorized focus
16. Suss MicroTec MA6 Bond Tooling
17. Saes Pure Gas Monotor PS3SP2R1
18. Hirox KH-1000 High Resolution Microscope
19. Newport Orion FX-3 Alignment System
20. Veeco/Miller Design FPP5000 Four Point Probe
21. Leica MZ12.5 Stereozoom Microscope
22. Perkin Elmer Lambda 35
23. Leitz Ergolux microscope
24. Elliot Scientific MDE 881 Gold Series Alignment Station
25. Newport M-BGM 160MS Goniometer
26. Newport SR-170-CF Analyzer
27. PI E501.10, E612.C0
28. Indigo Systems Phoenix NIR camera with InGaAr detectorCamera
29. Davidson Optronics D638 Autocollimator
30. Burleigh TS-100 XYZ 3-Axis Piezo Stage, RS-800 Theta Stage
31. Newport MM4005 Controller
32. Cascade Microtech probe card holder
33. Suss PH400 manual submicron positioner
34. Ultron 108B Wafer Mounter
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
35. VWR 1430MS VAcuum Oven
36. Salvis VC-20 Vacuum Oven
37. MTI Nanoindentor XP Material Testing System
38. Suss Microtech PH600HF motorized positioner
39. Highwave Optical Technologies HWT-L-BS-B1-2-APC Erbium laser
40. Dielectric Analyzer
41. Alcatel 5150CP new unused turbo pump
42. Everbeing SR-4-8L Four point probe stand with probe and 200mm wafer base
43. Retsch RMO Mortor Grinder
44. SDL FL20-4211-002 Infrared Laser 800-1200nm
45. Nikon DIC (Nomarski) kit for Eclipse L150/LV150 microscope
46. Digikrom 1/4 Meter
47. Temescal SFIH-270-2 40cc electron beam source with 5 new crucibles
48. APT WH5000 adjustable workholder for wire bonder
49. Pfeiffer Vacuum Prisma QME-200 Residual Gas Analyzer
50. Leica MZ8 Stereozoom Microscope
51. Wentworth CAP 3000 Motorized Positioner
52. Melles Griot 17 MAX 605 Flexure Stage
53. Trio Tech Arctic 60
54. Pi M415.DG 150mm linear stage
55. Dynapert MT-10 Bond Wire Tester
56. Newport 561D-XYZ-LH 3 Axis Stage with fiber and waveguide mounts
57. Luminera Infinity 2-3C CCD camera
58. Terra Universal 2535-00 Farracator dessicator
59. Met One A2408-1-115-1 Particle Counter
60. Elliot Scientific DALi 2100 Controller
61. Brewer Science DSD/1 photoresist dispenser
62. Lasag Industrial Lasers AG 34.0920
63. EIT Uvicure Plus II
64. Wentworth CAP 4000 Motorized Positioner
65. Yokogawa MT110 Manometer
66. JDS Uniphase/Cyonics 2214-25 MLUP Argon Ion Laser
67. Dalsa P2-22-06K40 Line Scan Camera
68. Newport UTM100CC-HL single axis linear stage
69. PCB Piezotronics Impulse Hammer
70. Instron 2712-001 5N (1lbf) tension grips
71. Beckman Type 50.3 Ti Rotor
72. Nikon PlanApo 150x Objective
73. Thorlabs NRT100/M Linear Stage
74. Newport 2832C
75. Imada I8 Torque Gauge
76. Newport M-426-XYZ three Axis Translation Stage with micrometers
77. VAT Series 12 Gate Valve
78. Dupont 303 Moisture Monitor
79. Nikon PlanApo 100x Objective
80. Newport Breadboard
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
81. Micromanipulator 450/550 Positioner
82. Inficon vacuum feedthru for quartz crystal depositon monitor
83. Mecmesin AFG 10N Digital Force Gauge
84. Thorlabs MDT616, SDL 5432 XYZ Flexure Stage
85. VAT UHV Gate Valve: PN 01028-KE24-0004
86. Newport 24x36x4 breadboard
87. Elcometer cylindrical mandrels
88. Sartorius I2000D Balance
89. Tensitron TM-4000
90. Ion Systems Z-stat 6412
91. Disco NBC-Z dicing blades, Box of 10, 52×0.03×40
92. Disco NBC-Z dicing blades, Box of 10, 52×0.05×40
93. Disco NBC-Z dicing saw blades, Box of 10, 52×0.07×40
94. Sony DXC-930 3CCD color Camera with bayonet mount
95. Particle Measuring Systems/Siemens 2BH4 Vacuum Pump
96. EIT SP1-365 Spot Cure
97. Disco NBC-Z dicing blades, Box of 10, 52×0.09×40
98. Inficon VAM025A Angle Valve
99. VAT HV Valve: PN 26328-KE22-AFR
100. Ohaus Galaxy 400
101. Armstrong Ultima 24″x24″x3/4″ beveled Tegular acoustical ceiling tiles box of 12
102. JP Stevens Quartzel fused quartz roving (Trade name Astroquartz)
103. MRL Industries SBR200355 Bandit 218 MS LH Furnace
104. DEK-Heller PV1200 PV Metallization line
105. Oxford Plasmalab 300 RIE
106. Chemisens CPA-201 reaction calorimeter
107. Frontier Semiconductor Measurements Inc. 128L C2C
108. CHA SE600 RAP E-beam deposition system
109. Tepla 300 Autoload PC Microwave Plasma Asher
110. Rigaku GXR2 XRD
111. March AP-1000 Plasma Cleaner
112. Walker Magnetics HF12HB, HS1575-3SS
113. SC Fluids CPD1100 supercritical CO2 dryer
114. March PX1000 plasma asher with ENI ACG-10B 1000W Rf generator
115. Yield Engineering (YES) 450PB6-8 laminar bake oven
116. Zeiss LM100 large panel inspection station
117. Freight
118. TechnoOrg Linda IV5 Gentle Mill
119. Signatone CM310 Manual Probe Station with 300mm wafer chuck
120. K&S 7100Ad dicing saw
121. Yield Engineering 450PB6-8
122. Olympus AL120-LMB8 wafer loader with optional shuttle stage
123. GSI Lumonics LuxStar LX-50 Welding Laser
124. SSEC NTe hermetic package sealer with enclosure
125. TMC Stacis 2100 Active Vibration Cancellation System
126. Frontier Semiconductor Measurements FSM 900TC-VAC-200mm
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
127. Societe Genevoise sip305 measurement system with HP Interferometer
128. MTS Systems Corp Alliance RT/5 Testing System
129. Nikon wafer inspection station
130. Veeco CP II
131. DataPhysics ACA 50
132. Electron Vision A3C Electron Cure 3C-PM
133. Sikama 5C reflow convection/ conduction oven
134. Gigatest Labs GTL4040 Probe Station, Olympus microscope and 3 micropositioners
135. Gaertner L115C Ellipsometer
136. Kulicke & Soffa 982-6 Plus
137. Denton Vacuum DV502A DC sputtering system
138. Veeco V200-Si Stylus Profiler
139. Micromanipulator 4060 Probe Station
140. Solitec 820ACB Coat/Bake Track
141. K&S (Kulick & Soffa) 8028PPS automatic gold ball bonder
142. RMS Systems/Hologenix NGS 3500
143. Lufran 065-CE-480-100-U-CE 65KW DI Water Heater
144. ASM AB339 automatic gold ball bonder
145. Instron 4465 testing machine
146. Rudolph Research Autopol V polarimeter
147. Asymtek Century C-720, DV-8000
148. UHP-10, 42-240-BN DI Water System
149. Kulicke & Soffa 973 micro wash
150. Micromanipulator 2250 large substrate Prober
151. Buehler 49-3001-160 Grinder/Polisher
152. Micromanipulator 6150 Probe Station
153. Micromanipulator 450PM-A prober
154. Ultra-T SWC 111M DI Water Cleaner
155. SSEC 300ML Solvent Processor
156. Probing Solutions 400 series 150mm manual prober
157. CEE Brewer Science programmable hot plate 19″x19″
158. Despatch LLC1-51V-3 Dual Stack Oven
159. PV measurements IV5 Solar Cell Measurement System
160. Retsch PM400 4 station grinding mill
161. Asymtek 403G with 18″x18″ dispense area and UV curing tool
162. Newport I.V. 100 Solar Simulator
163. Olympus BX-60F Inspection Microscope
164. Signatone S463 Prober
165. Semitool 470S spin rinse dryer
166. Rorze RR700L wafer handling robot
167. Hanovia C2523321
168. Despatch LPB1-15V HAST Chamber
169. Osaka TG1813BW Compound Molecular Pump
170. Samco UV-660 ozone stripper
171. Kulicke and Soffa 4523 Wedge Bonder
172. Temptronic TPO4300B-3X32-3 temperature forcing system (2006), 50Hz
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
173. Verteq 1800-6AL spin dryer for max 200mm wafers
174. Dymatix 860 Prober
175. Melles Griot Stabiletop Breadboard with enclosure and shelf
176. Westbond 5700B thermosonic ball bonder
177. Daystar Energy Engineering DS-100C Curve Tracer
178. RAM Optical/Newport OMIS II 6×9 Measurement/Inspection Tool
179. Trio Tech G253A gross leak bubble tester
180. Jobin Yvon-SPEX/Horiba Triax 320
181. Probotech P11-LX6x9 Dual Sided Prober
182. Smiths Ionscan LS
183. MRL Diffusion Furnace Heating Element
184. Thermcraft Lab-Temp LBO-24-10-10-1X-J5929/1A Temperature Chamber
185. Mitutoyo BH-303 Air Bearing Coordinate Measuring Machine with Renishaw probe
186. Microautomation MA1100 Dicing Saw
187. Atlas T25
188. Blackstone-Ney ultrasonic cleaning system, 24x24x18 tank
189. ETS Lindgren Acoustic Systems Small Device SD2 Test Enclosure
190. CEE Brewer Science 19″x19″ hot plate with vacuum hold down
191. Leybold Ecodry L dry vacuum pump
192. Royce 552 Testing Machine
193. Reichert Polylite 88 Inspection Microscope
194. Advanced Energy Cesar Dressler RF power supply, 40.68mhz, 2KW
195. Comdel CX2500S RF Power Supply
196. JJ Lloyd T5502
197. Lindberg Blue M DC-336-E-ST350 forced air oven
198. TMC 63-631 electropolished stainless vibration isolation workstation
199. Pfeiffer 20MC vacuum pump
200. Blue M DCC-256B-M HEPA Oven
201. Genmark Automation Gencobot 4 robot
202. Particle Measuring Systems CLS-600 Liquid Particle Counter
203. Kulicke & Soffa 960-6 Tape Mounter
204. Ameritherm NOVA 1
205. JEOL EMDSC-U10A Vacuum Dessicator
206. Advanced Imaging Custom Rough Lapping Station
207. TMC isolation table 63-510 with cleantop II breadboard
208. Fuji VG-400C-10W
209. TMC Vibration Isolation Talbe
210. Tek Temp TKD-100/8200 Chiller
211. VAT 64250-CE52-1101 Gate Valve
212. Baxter Scientific/Heraeus Biofuge 22R Centrifuge
213. Bird Electronics 8833-300
214. Yellow Jacket cord protectors- 5 channel-1 LOT
215. Terra Universal 1606-61 dual purge controller
216. NTA Inudstries 1063C3 Stainless Steel Table
217. Terra Universal Nitro Watch
218. Refurbished
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
Etch RIE ICP DRIE
Oxford Plasmalab System 133
Oxford Plasmalab System 133
Oxford Plasmalab System 133
Oxford Plasmalab System 133
STS PRO ICP Etcher
STS Multiplex ICP
STS MESC Multiplex ICP
STS Multiplex ICP MACS
STS multi-chamber Cluster
STS Mutiplex ICP
Matrix 302
Matrix 303
Tegal 903e Plasma Etch
Tegal 903e Plasma Etch
Tegal 903e Plasma Etch
Lam AutoEtch 590
Lam Auto Etch 590
Lam Rainbow 4728
Lam Rainbow 4420 Envision ver1.5.1
Plasma Therm 700
Plasmatherm SLR 720
Equipment Inventory-List
Equipment Inventory-Categories
Robot Controller Prealigner
Equipment and Parts Inventory-List
Resell equipment
Laser Trimmer
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
Plasmatherm 790
Branson/IPC 4150
Branson/IPC 3000
Technics PE-11A
Plasma Etch BT1
Plasma Etch PE-100 Series
Applied Materials Plasma II Plasma Etching
Vacuum Pump
Ebara A30W
Ebara A10S
Ebara A07V
Boc Edwards Spectron 5000 Helium Leak Detector
Laser Trimmer and Service
GSI M310 Laser Trimmer
GSI M350 Laser Trimmer
ESI 3572 Laser Trimmer
KVD M2m Tester
Laser System Repair, Services and Parts (1)
Laser System Repair, Services and Parts (2)
Laser System Repair, Services and Parts (3)
Laser Services for Thick Film
Laser Services for Thin Film
Link Blowing
Thin Film Equipment
Plasma Therm 700
AMAT AMP-3300 PECVD
Varian 3118 E-Beam Thermal
Varian 3120 Evaporator
Varian 3120 EB Evaporator
CVC AST-601 Sputter
Airco Temescal FC-1800
Temescal FC-1800
Temescal FC-1800
Temescal FC-1800
Temescal FC-1800 -TES
Airco Temescal FC-1800
Temescal BJD-1800 -TES
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
MRC 603 Sputter
MRC 643 Sputter
MRC 603 Sputter
MRC 603 MRC 693 TES
MRC 8671 Sputtering
Perkin-Elmer 4400 Sputter
Perkin-Elmer 4400 Sputter
Perkin-Elmer 4450 Sputter
Kurt J Lesker Dual Thermo
Plasmalab CVD-2
Mask Aligner/Stepper
Canon / Neutronix PLA501 Front & Back Aligner
Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
Neutronix PLA-545 UV Mask Aligner
Canon PLA-501 F crated-9
Canon PLA-501 F crated-8
Canon PLA-501 F Parallel Light Mask Aligner 2 sets
Wet Process Plating Equipment
Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
Semitool SD250 Spin Dryer
STI Semitool Spin Rinse Dryer ST-260
Gold Techni TG25T Bath Rhodium 225 Bath
Coppy MHY Plating
Wet Process Equipment
Wet Process Equipment
Wet Process Equipment
Technic Inc. Portable Wet Bench
Search for: Search products… Search
Plasma Asher Descum
Branson IPC 3000
Branson 3000 Barrel
Branson S3003
Matrix 105
Matrix 205
Matrix Bobcat 209S
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
Matrix Bobcat 209S
Gasonics L3510
Gasonics L3500
Gasonics Aura 2000LL
Matrix System One Stripper
Technics Macro Series 2000
PVA Tepla M4L
YES R1
YES R3
LFE PP-151-9
Plasma Etch BT1
Chillers
SMC HRS024-AN-20
SMC HRS018-AN-20
SMC HRS018-WN-20-M
Fisher Scientific Chiller
PolyScience 9102A Chiller
PolyScience 9105 Chiller
PolyScience 6000 Series 6750TG5CWR93
FTS System MAXI COOL
NESLAB SYSTEM II
Neslab CFT-25 Recirculator
Thermo MERLIN Series m150
NESLAB CFT-75
NESLAB CFT-33
NESLAB SYSTEM I
NESLAB SYSTEM II
Neslab RTE-110 Chiller
Thermo Fisher Scientific Chiller
Thermo Fisher Neslab 7
ThermoRack 10-R6AF-3G30-10
Temptronic TP03000A-2300-1
Oven
Oven Technology Inc Oven Technology 4400
VWR Scientific 1370 F Oven
Associated Vacuum Technology
Blue M OV-472A-2 38C-260C 4 sets
VWR 1410 VWR
Sheldon / Bellco 1927 Laboratory Oven
MTI OTF-1200X Open Tube Furnace
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
RTA RTP RTO RTN
Heatpulse 8800
Heatpulse 8108
Heatpulse 4108
Heatpulse 4100S
Heatpulse 4100
Heatpulse 610
Mini-Pulse 310
Heatpulse 610
Heatpulse 210
RTP-3000
Coat Develop Bake Equipment
BOLD Technologies INC Batch Develop Station
Solitec Model 820-ACB Automatic Coat Bake 4 sets
Steamboat Semiconductor Developer
SVG-8136 HPO SVG Spin Track
Metrology Tester Prober
EG 1034
EG 2001X with NAVITAR
Electroglas 2001X 3 sets
EG 2001 Wafer Probe (13+14 sets)
EG 3001X
Electroglas Model 3001X
EG4090u+ 8 inch wafer probe
Nanometrics 210 Nanospec AFT
Nanoline CD Measurement
Tencor M-Gage 200
HP 4062 and Testers
Hewlett Packard 4061A
Relay Tester With HP Compaq ,TDK Lambda
Relay Tester With HP Compaq Pickering Interfaces 40-914-001
Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
Others
Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
Kulicke & Soffa (K&S) Triton RDA Auto Wedge Bonder
USI Ultron Systems Model UH108
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
Clone Screening Colony Picker
Wafer Carriers/Susceptors -AL, SSL, Si, SiC, Quartz etc.
Equipment and Parts for AG Associates RTP
4-6 inch Teflon Cassette PFA FLUOROWARE EMPAK Wafer Cassette
© 2020 SemiStar Corp.. All Rights Reserved.
The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers
Location: San Jose,CA USA SS7270 sales@semistarcorp.com
Email us for the availability pls. Appreciate your time! sales@semistarcorp.com

More Related Content

What's hot

Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
Emily Tan
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
Emily Tan
 
Original IGBT RJP60V0 600V 22A TO-3P New
Original IGBT RJP60V0 600V 22A TO-3P NewOriginal IGBT RJP60V0 600V 22A TO-3P New
Original IGBT RJP60V0 600V 22A TO-3P New
authelectroniccom
 
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
Tlc5940 ep
Tlc5940 epTlc5940 ep
Tlc5940 ep
____
 
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
Tsuyoshi Horigome
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
Emily Tan
 
Transceiver test
Transceiver testTransceiver test
Transceiver testoutdoorhack
 
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
Tsuyoshi Horigome
 
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas PanasonicOriginal IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
authelectroniccom
 
Catalog cs iec industrial_control_dienhathe.vn
Catalog cs iec industrial_control_dienhathe.vnCatalog cs iec industrial_control_dienhathe.vn
Catalog cs iec industrial_control_dienhathe.vn
Dien Ha The
 
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3
Emily Tan
 
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
Tsuyoshi Horigome
 

What's hot (20)

Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
Original IGBT RJP60V0 600V 22A TO-3P New
Original IGBT RJP60V0 600V 22A TO-3P NewOriginal IGBT RJP60V0 600V 22A TO-3P New
Original IGBT RJP60V0 600V 22A TO-3P New
 
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TK65E10N1 (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Professional+BDP Model) in SPICE PARK
 
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8115 (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8R01 (Standard+BDS Model) in SPICE PARK
 
Tlc5940 ep
Tlc5940 epTlc5940 ep
Tlc5940 ep
 
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8059-H (Professional+BDP Model) in SPICE PARK
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Transceiver test
Transceiver testTransceiver test
Transceiver test
 
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
 
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Professional+BDP Model) in SPICE PARK
 
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas PanasonicOriginal IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
Original IGBT RJP30E4 360V 35A TO-263 New Renesas Panasonic
 
Catalog cs iec industrial_control_dienhathe.vn
Catalog cs iec industrial_control_dienhathe.vnCatalog cs iec industrial_control_dienhathe.vn
Catalog cs iec industrial_control_dienhathe.vn
 
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8057-H (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Standard+BDS Model) in SPICE PARK
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3
 
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCA8062-H (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPCP8305 (Standard+BDS Model) in SPICE PARK
 

Similar to Semiconductor equipment semi star may 2020-ss7270

POLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EUPOLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EUSindy Brown
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
Peter Chen
 
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...grssieee
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
Emily Tan
 
POLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmPOLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmMiranda Stephens
 
POLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmPOLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmSindy Brown
 
MONO_250-270_v.1.6_EU_LOW_35mm
MONO_250-270_v.1.6_EU_LOW_35mmMONO_250-270_v.1.6_EU_LOW_35mm
MONO_250-270_v.1.6_EU_LOW_35mmSindy Brown
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
Emily Tan
 
Altus exertus-specification
Altus exertus-specificationAltus exertus-specification
Altus exertus-specification
patel170591
 
Integrated Detector Electronics (IDEAS) ASIC product update
Integrated Detector Electronics (IDEAS) ASIC product updateIntegrated Detector Electronics (IDEAS) ASIC product update
Integrated Detector Electronics (IDEAS) ASIC product update
Gunnar Maehlum
 
Integrated Flame Scanner for Industrial Combustion Operations
Integrated Flame Scanner for Industrial Combustion OperationsIntegrated Flame Scanner for Industrial Combustion Operations
Integrated Flame Scanner for Industrial Combustion Operations
Classic Controls, Inc.
 
Integrated Flame Scanner For Commercial and Industrial Combustion Operations
Integrated Flame Scanner For Commercial and Industrial Combustion OperationsIntegrated Flame Scanner For Commercial and Industrial Combustion Operations
Integrated Flame Scanner For Commercial and Industrial Combustion Operations
CTi Controltech
 
SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
Emily Tan
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
Emily Tan
 
Introduction of 7200 Q-TOF.pdf
Introduction of 7200 Q-TOF.pdfIntroduction of 7200 Q-TOF.pdf
Introduction of 7200 Q-TOF.pdf
ssuser50b929
 
HCR-A18 4000K Ra73
HCR-A18 4000K Ra73HCR-A18 4000K Ra73
HCR-A18 4000K Ra73Allen Wang
 
NatSat INSPIRE Presenation
NatSat INSPIRE Presenation NatSat INSPIRE Presenation
NatSat INSPIRE Presenation Linda Schmidt
 

Similar to Semiconductor equipment semi star may 2020-ss7270 (20)

POLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EUPOLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EU
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
POLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EUPOLY_300-310_ENG_v.1.6_EU
POLY_300-310_ENG_v.1.6_EU
 
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...
DEVELOPMENT OF HIGH TEMPERATURE NOISE SOURCE (HTS) FOR ADVANCED MICROWAVE SCA...
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
POLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmPOLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mm
 
POLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mmPOLY_250-260_v.1.6_EU_LOW_35mm
POLY_250-260_v.1.6_EU_LOW_35mm
 
MONO_250-270_v.1.6_EU_LOW_35mm
MONO_250-270_v.1.6_EU_LOW_35mmMONO_250-270_v.1.6_EU_LOW_35mm
MONO_250-270_v.1.6_EU_LOW_35mm
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Altus exertus-specification
Altus exertus-specificationAltus exertus-specification
Altus exertus-specification
 
Integrated Detector Electronics (IDEAS) ASIC product update
Integrated Detector Electronics (IDEAS) ASIC product updateIntegrated Detector Electronics (IDEAS) ASIC product update
Integrated Detector Electronics (IDEAS) ASIC product update
 
Integrated Flame Scanner for Industrial Combustion Operations
Integrated Flame Scanner for Industrial Combustion OperationsIntegrated Flame Scanner for Industrial Combustion Operations
Integrated Flame Scanner for Industrial Combustion Operations
 
Integrated Flame Scanner For Commercial and Industrial Combustion Operations
Integrated Flame Scanner For Commercial and Industrial Combustion OperationsIntegrated Flame Scanner For Commercial and Industrial Combustion Operations
Integrated Flame Scanner For Commercial and Industrial Combustion Operations
 
SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
 
Introduction of 7200 Q-TOF.pdf
Introduction of 7200 Q-TOF.pdfIntroduction of 7200 Q-TOF.pdf
Introduction of 7200 Q-TOF.pdf
 
Q Plus
Q PlusQ Plus
Q Plus
 
HCR-A18 4000K Ra73
HCR-A18 4000K Ra73HCR-A18 4000K Ra73
HCR-A18 4000K Ra73
 
NatSat INSPIRE Presenation
NatSat INSPIRE Presenation NatSat INSPIRE Presenation
NatSat INSPIRE Presenation
 
Equipments available.docx
Equipments available.docxEquipments available.docx
Equipments available.docx
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
Emily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
Emily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
Emily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
Emily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
Emily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
Emily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
Emily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
Emily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
Emily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
Emily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Emily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
Emily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
Emily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
Emily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
Emily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
Emily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
Emily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
Emily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 

Recently uploaded

Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Jeffrey Haguewood
 
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdfFIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
Safe Software
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
Dorra BARTAGUIZ
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
Elena Simperl
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
Laura Byrne
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
DianaGray10
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
Alan Dix
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
Sri Ambati
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
Ana-Maria Mihalceanu
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
ThousandEyes
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
Elena Simperl
 
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Albert Hoitingh
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Product School
 

Recently uploaded (20)

Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
 
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdfFIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
 
Assuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyesAssuring Contact Center Experiences for Your Customers With ThousandEyes
Assuring Contact Center Experiences for Your Customers With ThousandEyes
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 

Semiconductor equipment semi star may 2020-ss7270

  • 1. Description Please contact us if you are interested in the following items. These items are only for end users and are subject to sale without notice. Appreciate your time. 1. Pfeiffer TMU1000MPC Turbo drag Pump 2. Nanometrics 3000 thin film analyzer 3. Optronic Laboratories OL770-LED VIS/NIR Sprectroradiometer 4. Agilent 4155C 5. Stanford Research QMS200 Residual Gas Analyzer 0-200amu 6. Surfx Technologies Atmospheric Plasma Generator 7. Metricon 2010 8. Newport PM500-33LR0120 XY-Theta Stage 9. Nanometrics 210 Thin Film Measurement Tool 10. Nikon Eclipse L150 Inspection Microscope 11. Burleigh WA-7600 wavemeter 12. Burleigh WA-7100 Wavemeter 13. Leitz Ergolux microscope with motorized stage and focus 14. MacGregor DC 1001P welding power supply 15. Leica MZ9.5 stereozoom with motorized focus 16. Suss MicroTec MA6 Bond Tooling 17. Saes Pure Gas Monotor PS3SP2R1 18. Hirox KH-1000 High Resolution Microscope 19. Newport Orion FX-3 Alignment System 20. Veeco/Miller Design FPP5000 Four Point Probe 21. Leica MZ12.5 Stereozoom Microscope 22. Perkin Elmer Lambda 35 23. Leitz Ergolux microscope 24. Elliot Scientific MDE 881 Gold Series Alignment Station 25. Newport M-BGM 160MS Goniometer 26. Newport SR-170-CF Analyzer 27. PI E501.10, E612.C0 28. Indigo Systems Phoenix NIR camera with InGaAr detectorCamera 29. Davidson Optronics D638 Autocollimator 30. Burleigh TS-100 XYZ 3-Axis Piezo Stage, RS-800 Theta Stage 31. Newport MM4005 Controller 32. Cascade Microtech probe card holder 33. Suss PH400 manual submicron positioner 34. Ultron 108B Wafer Mounter Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 2. 35. VWR 1430MS VAcuum Oven 36. Salvis VC-20 Vacuum Oven 37. MTI Nanoindentor XP Material Testing System 38. Suss Microtech PH600HF motorized positioner 39. Highwave Optical Technologies HWT-L-BS-B1-2-APC Erbium laser 40. Dielectric Analyzer 41. Alcatel 5150CP new unused turbo pump 42. Everbeing SR-4-8L Four point probe stand with probe and 200mm wafer base 43. Retsch RMO Mortor Grinder 44. SDL FL20-4211-002 Infrared Laser 800-1200nm 45. Nikon DIC (Nomarski) kit for Eclipse L150/LV150 microscope 46. Digikrom 1/4 Meter 47. Temescal SFIH-270-2 40cc electron beam source with 5 new crucibles 48. APT WH5000 adjustable workholder for wire bonder 49. Pfeiffer Vacuum Prisma QME-200 Residual Gas Analyzer 50. Leica MZ8 Stereozoom Microscope 51. Wentworth CAP 3000 Motorized Positioner 52. Melles Griot 17 MAX 605 Flexure Stage 53. Trio Tech Arctic 60 54. Pi M415.DG 150mm linear stage 55. Dynapert MT-10 Bond Wire Tester 56. Newport 561D-XYZ-LH 3 Axis Stage with fiber and waveguide mounts 57. Luminera Infinity 2-3C CCD camera 58. Terra Universal 2535-00 Farracator dessicator 59. Met One A2408-1-115-1 Particle Counter 60. Elliot Scientific DALi 2100 Controller 61. Brewer Science DSD/1 photoresist dispenser 62. Lasag Industrial Lasers AG 34.0920 63. EIT Uvicure Plus II 64. Wentworth CAP 4000 Motorized Positioner 65. Yokogawa MT110 Manometer 66. JDS Uniphase/Cyonics 2214-25 MLUP Argon Ion Laser 67. Dalsa P2-22-06K40 Line Scan Camera 68. Newport UTM100CC-HL single axis linear stage 69. PCB Piezotronics Impulse Hammer 70. Instron 2712-001 5N (1lbf) tension grips 71. Beckman Type 50.3 Ti Rotor 72. Nikon PlanApo 150x Objective 73. Thorlabs NRT100/M Linear Stage 74. Newport 2832C 75. Imada I8 Torque Gauge 76. Newport M-426-XYZ three Axis Translation Stage with micrometers 77. VAT Series 12 Gate Valve 78. Dupont 303 Moisture Monitor 79. Nikon PlanApo 100x Objective 80. Newport Breadboard Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 3. 81. Micromanipulator 450/550 Positioner 82. Inficon vacuum feedthru for quartz crystal depositon monitor 83. Mecmesin AFG 10N Digital Force Gauge 84. Thorlabs MDT616, SDL 5432 XYZ Flexure Stage 85. VAT UHV Gate Valve: PN 01028-KE24-0004 86. Newport 24x36x4 breadboard 87. Elcometer cylindrical mandrels 88. Sartorius I2000D Balance 89. Tensitron TM-4000 90. Ion Systems Z-stat 6412 91. Disco NBC-Z dicing blades, Box of 10, 52×0.03×40 92. Disco NBC-Z dicing blades, Box of 10, 52×0.05×40 93. Disco NBC-Z dicing saw blades, Box of 10, 52×0.07×40 94. Sony DXC-930 3CCD color Camera with bayonet mount 95. Particle Measuring Systems/Siemens 2BH4 Vacuum Pump 96. EIT SP1-365 Spot Cure 97. Disco NBC-Z dicing blades, Box of 10, 52×0.09×40 98. Inficon VAM025A Angle Valve 99. VAT HV Valve: PN 26328-KE22-AFR 100. Ohaus Galaxy 400 101. Armstrong Ultima 24″x24″x3/4″ beveled Tegular acoustical ceiling tiles box of 12 102. JP Stevens Quartzel fused quartz roving (Trade name Astroquartz) 103. MRL Industries SBR200355 Bandit 218 MS LH Furnace 104. DEK-Heller PV1200 PV Metallization line 105. Oxford Plasmalab 300 RIE 106. Chemisens CPA-201 reaction calorimeter 107. Frontier Semiconductor Measurements Inc. 128L C2C 108. CHA SE600 RAP E-beam deposition system 109. Tepla 300 Autoload PC Microwave Plasma Asher 110. Rigaku GXR2 XRD 111. March AP-1000 Plasma Cleaner 112. Walker Magnetics HF12HB, HS1575-3SS 113. SC Fluids CPD1100 supercritical CO2 dryer 114. March PX1000 plasma asher with ENI ACG-10B 1000W Rf generator 115. Yield Engineering (YES) 450PB6-8 laminar bake oven 116. Zeiss LM100 large panel inspection station 117. Freight 118. TechnoOrg Linda IV5 Gentle Mill 119. Signatone CM310 Manual Probe Station with 300mm wafer chuck 120. K&S 7100Ad dicing saw 121. Yield Engineering 450PB6-8 122. Olympus AL120-LMB8 wafer loader with optional shuttle stage 123. GSI Lumonics LuxStar LX-50 Welding Laser 124. SSEC NTe hermetic package sealer with enclosure 125. TMC Stacis 2100 Active Vibration Cancellation System 126. Frontier Semiconductor Measurements FSM 900TC-VAC-200mm Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 4. 127. Societe Genevoise sip305 measurement system with HP Interferometer 128. MTS Systems Corp Alliance RT/5 Testing System 129. Nikon wafer inspection station 130. Veeco CP II 131. DataPhysics ACA 50 132. Electron Vision A3C Electron Cure 3C-PM 133. Sikama 5C reflow convection/ conduction oven 134. Gigatest Labs GTL4040 Probe Station, Olympus microscope and 3 micropositioners 135. Gaertner L115C Ellipsometer 136. Kulicke & Soffa 982-6 Plus 137. Denton Vacuum DV502A DC sputtering system 138. Veeco V200-Si Stylus Profiler 139. Micromanipulator 4060 Probe Station 140. Solitec 820ACB Coat/Bake Track 141. K&S (Kulick & Soffa) 8028PPS automatic gold ball bonder 142. RMS Systems/Hologenix NGS 3500 143. Lufran 065-CE-480-100-U-CE 65KW DI Water Heater 144. ASM AB339 automatic gold ball bonder 145. Instron 4465 testing machine 146. Rudolph Research Autopol V polarimeter 147. Asymtek Century C-720, DV-8000 148. UHP-10, 42-240-BN DI Water System 149. Kulicke & Soffa 973 micro wash 150. Micromanipulator 2250 large substrate Prober 151. Buehler 49-3001-160 Grinder/Polisher 152. Micromanipulator 6150 Probe Station 153. Micromanipulator 450PM-A prober 154. Ultra-T SWC 111M DI Water Cleaner 155. SSEC 300ML Solvent Processor 156. Probing Solutions 400 series 150mm manual prober 157. CEE Brewer Science programmable hot plate 19″x19″ 158. Despatch LLC1-51V-3 Dual Stack Oven 159. PV measurements IV5 Solar Cell Measurement System 160. Retsch PM400 4 station grinding mill 161. Asymtek 403G with 18″x18″ dispense area and UV curing tool 162. Newport I.V. 100 Solar Simulator 163. Olympus BX-60F Inspection Microscope 164. Signatone S463 Prober 165. Semitool 470S spin rinse dryer 166. Rorze RR700L wafer handling robot 167. Hanovia C2523321 168. Despatch LPB1-15V HAST Chamber 169. Osaka TG1813BW Compound Molecular Pump 170. Samco UV-660 ozone stripper 171. Kulicke and Soffa 4523 Wedge Bonder 172. Temptronic TPO4300B-3X32-3 temperature forcing system (2006), 50Hz Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 5. 173. Verteq 1800-6AL spin dryer for max 200mm wafers 174. Dymatix 860 Prober 175. Melles Griot Stabiletop Breadboard with enclosure and shelf 176. Westbond 5700B thermosonic ball bonder 177. Daystar Energy Engineering DS-100C Curve Tracer 178. RAM Optical/Newport OMIS II 6×9 Measurement/Inspection Tool 179. Trio Tech G253A gross leak bubble tester 180. Jobin Yvon-SPEX/Horiba Triax 320 181. Probotech P11-LX6x9 Dual Sided Prober 182. Smiths Ionscan LS 183. MRL Diffusion Furnace Heating Element 184. Thermcraft Lab-Temp LBO-24-10-10-1X-J5929/1A Temperature Chamber 185. Mitutoyo BH-303 Air Bearing Coordinate Measuring Machine with Renishaw probe 186. Microautomation MA1100 Dicing Saw 187. Atlas T25 188. Blackstone-Ney ultrasonic cleaning system, 24x24x18 tank 189. ETS Lindgren Acoustic Systems Small Device SD2 Test Enclosure 190. CEE Brewer Science 19″x19″ hot plate with vacuum hold down 191. Leybold Ecodry L dry vacuum pump 192. Royce 552 Testing Machine 193. Reichert Polylite 88 Inspection Microscope 194. Advanced Energy Cesar Dressler RF power supply, 40.68mhz, 2KW 195. Comdel CX2500S RF Power Supply 196. JJ Lloyd T5502 197. Lindberg Blue M DC-336-E-ST350 forced air oven 198. TMC 63-631 electropolished stainless vibration isolation workstation 199. Pfeiffer 20MC vacuum pump 200. Blue M DCC-256B-M HEPA Oven 201. Genmark Automation Gencobot 4 robot 202. Particle Measuring Systems CLS-600 Liquid Particle Counter 203. Kulicke & Soffa 960-6 Tape Mounter 204. Ameritherm NOVA 1 205. JEOL EMDSC-U10A Vacuum Dessicator 206. Advanced Imaging Custom Rough Lapping Station 207. TMC isolation table 63-510 with cleantop II breadboard 208. Fuji VG-400C-10W 209. TMC Vibration Isolation Talbe 210. Tek Temp TKD-100/8200 Chiller 211. VAT 64250-CE52-1101 Gate Valve 212. Baxter Scientific/Heraeus Biofuge 22R Centrifuge 213. Bird Electronics 8833-300 214. Yellow Jacket cord protectors- 5 channel-1 LOT 215. Terra Universal 1606-61 dual purge controller 216. NTA Inudstries 1063C3 Stainless Steel Table 217. Terra Universal Nitro Watch 218. Refurbished Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 6. Etch RIE ICP DRIE Oxford Plasmalab System 133 Oxford Plasmalab System 133 Oxford Plasmalab System 133 Oxford Plasmalab System 133 STS PRO ICP Etcher STS Multiplex ICP STS MESC Multiplex ICP STS Multiplex ICP MACS STS multi-chamber Cluster STS Mutiplex ICP Matrix 302 Matrix 303 Tegal 903e Plasma Etch Tegal 903e Plasma Etch Tegal 903e Plasma Etch Lam AutoEtch 590 Lam Auto Etch 590 Lam Rainbow 4728 Lam Rainbow 4420 Envision ver1.5.1 Plasma Therm 700 Plasmatherm SLR 720 Equipment Inventory-List Equipment Inventory-Categories Robot Controller Prealigner Equipment and Parts Inventory-List Resell equipment Laser Trimmer Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 7. Plasmatherm 790 Branson/IPC 4150 Branson/IPC 3000 Technics PE-11A Plasma Etch BT1 Plasma Etch PE-100 Series Applied Materials Plasma II Plasma Etching Vacuum Pump Ebara A30W Ebara A10S Ebara A07V Boc Edwards Spectron 5000 Helium Leak Detector Laser Trimmer and Service GSI M310 Laser Trimmer GSI M350 Laser Trimmer ESI 3572 Laser Trimmer KVD M2m Tester Laser System Repair, Services and Parts (1) Laser System Repair, Services and Parts (2) Laser System Repair, Services and Parts (3) Laser Services for Thick Film Laser Services for Thin Film Link Blowing Thin Film Equipment Plasma Therm 700 AMAT AMP-3300 PECVD Varian 3118 E-Beam Thermal Varian 3120 Evaporator Varian 3120 EB Evaporator CVC AST-601 Sputter Airco Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 -TES Airco Temescal FC-1800 Temescal BJD-1800 -TES Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 8. MRC 603 Sputter MRC 643 Sputter MRC 603 Sputter MRC 603 MRC 693 TES MRC 8671 Sputtering Perkin-Elmer 4400 Sputter Perkin-Elmer 4400 Sputter Perkin-Elmer 4450 Sputter Kurt J Lesker Dual Thermo Plasmalab CVD-2 Mask Aligner/Stepper Canon / Neutronix PLA501 Front & Back Aligner Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner Neutronix PLA-545 UV Mask Aligner Canon PLA-501 F crated-9 Canon PLA-501 F crated-8 Canon PLA-501 F Parallel Light Mask Aligner 2 sets Wet Process Plating Equipment Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4 Semitool SD250 Spin Dryer STI Semitool Spin Rinse Dryer ST-260 Gold Techni TG25T Bath Rhodium 225 Bath Coppy MHY Plating Wet Process Equipment Wet Process Equipment Wet Process Equipment Technic Inc. Portable Wet Bench Search for: Search products… Search Plasma Asher Descum Branson IPC 3000 Branson 3000 Barrel Branson S3003 Matrix 105 Matrix 205 Matrix Bobcat 209S Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 9. Matrix Bobcat 209S Gasonics L3510 Gasonics L3500 Gasonics Aura 2000LL Matrix System One Stripper Technics Macro Series 2000 PVA Tepla M4L YES R1 YES R3 LFE PP-151-9 Plasma Etch BT1 Chillers SMC HRS024-AN-20 SMC HRS018-AN-20 SMC HRS018-WN-20-M Fisher Scientific Chiller PolyScience 9102A Chiller PolyScience 9105 Chiller PolyScience 6000 Series 6750TG5CWR93 FTS System MAXI COOL NESLAB SYSTEM II Neslab CFT-25 Recirculator Thermo MERLIN Series m150 NESLAB CFT-75 NESLAB CFT-33 NESLAB SYSTEM I NESLAB SYSTEM II Neslab RTE-110 Chiller Thermo Fisher Scientific Chiller Thermo Fisher Neslab 7 ThermoRack 10-R6AF-3G30-10 Temptronic TP03000A-2300-1 Oven Oven Technology Inc Oven Technology 4400 VWR Scientific 1370 F Oven Associated Vacuum Technology Blue M OV-472A-2 38C-260C 4 sets VWR 1410 VWR Sheldon / Bellco 1927 Laboratory Oven MTI OTF-1200X Open Tube Furnace Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 10. RTA RTP RTO RTN Heatpulse 8800 Heatpulse 8108 Heatpulse 4108 Heatpulse 4100S Heatpulse 4100 Heatpulse 610 Mini-Pulse 310 Heatpulse 610 Heatpulse 210 RTP-3000 Coat Develop Bake Equipment BOLD Technologies INC Batch Develop Station Solitec Model 820-ACB Automatic Coat Bake 4 sets Steamboat Semiconductor Developer SVG-8136 HPO SVG Spin Track Metrology Tester Prober EG 1034 EG 2001X with NAVITAR Electroglas 2001X 3 sets EG 2001 Wafer Probe (13+14 sets) EG 3001X Electroglas Model 3001X EG4090u+ 8 inch wafer probe Nanometrics 210 Nanospec AFT Nanoline CD Measurement Tencor M-Gage 200 HP 4062 and Testers Hewlett Packard 4061A Relay Tester With HP Compaq ,TDK Lambda Relay Tester With HP Compaq Pickering Interfaces 40-914-001 Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001 Others Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder Kulicke & Soffa (K&S) Triton RDA Auto Wedge Bonder USI Ultron Systems Model UH108 Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com
  • 11. Clone Screening Colony Picker Wafer Carriers/Susceptors -AL, SSL, Si, SiC, Quartz etc. Equipment and Parts for AG Associates RTP 4-6 inch Teflon Cassette PFA FLUOROWARE EMPAK Wafer Cassette © 2020 SemiStar Corp.. All Rights Reserved. The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers Location: San Jose,CA USA SS7270 sales@semistarcorp.com Email us for the availability pls. Appreciate your time! sales@semistarcorp.com