SlideShare a Scribd company logo
SemiStar Corp.
Semiconductor Equipment Parts Service
You are here: Home > Products > Applied Materials AMAT P5000 Etcher
Applied Materials AMAT P5000 Etcher
Refurbished Applied Materials AMAT P5000 Etcher
Categories: Etcher, PECVD CVD Tag: AMAT
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Description
Refurbished Applied Materials AMAT P5000 Etcher .
• Mark II Mainframe
• 8 slot storage elevator
• Phase III robot
• SATA RAID dual hard drive system (upgrade, optional)
• Compact flash floppy replacement drive (upgrade,, optional))
• Flat screen monitor x2 (upgrade,, optional))
• One (1) AMAT heat exchanger
• One (1) Neslab HX 150
• 50Ft. Hoses and cabling
• 12 slot gas panel
• Remote frame with ENI OEM 6 or 12B 13.56Mhz generators
Chamber A/B/C:
• Etch Process
• Mark II chamber
• 1 torr process manometer
• 200mm process kit
• Turbo
• ENI OEM 12B generator
• 1-6 MFC’s calibrated
The photo is only for your reference. Other customized configuration is available.
This item is only for end users and are subject to prior sale without notice. Appreciate your time.
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers
Etch RIE ICP DRIE
Oxford Plasmalab System 133
Oxford Plasmalab System 133
Oxford Plasmalab System 133
Oxford Plasmalab System 133
STS PRO ICP Etcher
STS Multiplex ICP
STS MESC Multiplex ICP
STS Multiplex ICP MACS
STS multi-chamber Cluster
STS Mutiplex ICP
Matrix 302
Matrix 303
Tegal 903e Plasma Etch
Tegal 903e Plasma Etch
Tegal 903e Plasma Etch
Lam AutoEtch 590
Lam Auto Etch 590
Lam Rainbow 4728
Lam Rainbow 4420 Envision ver1.5.1
Plasma Therm 700
Plasmatherm SLR 720
Plasmatherm 790
Branson/IPC 4150
Branson/IPC 3000
Technics PE-11A
Plasma Etch BT1
Our Main Equipment
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Plasma Etch PE-100 Series
Applied Materials Plasma II Plasma Etching
Vacuum Pump
Ebara A30W
Ebara A10S
Ebara A07V
Boc Edwards Spectron 5000 Helium Leak Detector
Laser Trimmer and Service
GSI M310 Laser Trimmer
GSI M350 Laser Trimmer
ESI 3572 Laser Trimmer
KVD M2m Tester
Laser System Repair, Services and Parts (1)
Laser System Repair, Services and Parts (2)
Laser System Repair, Services and Parts (3)
Laser Services for Thick Film
Laser Services for Thin Film
Link Blowing
Thin Film Equipment
Plasma Therm 700
AMAT AMP-3300 PECVD
Varian 3118 E-Beam Thermal
Varian 3120 Evaporator
Varian 3120 EB Evaporator
CVC AST-601 Sputter
Airco Temescal FC-1800
Temescal FC-1800
Temescal FC-1800
Temescal FC-1800
Temescal FC-1800 -TES
Airco Temescal FC-1800
Temescal BJD-1800 -TES
MRC 603 Sputter
MRC 643 Sputter
MRC 603 Sputter
MRC 603 MRC 693 TES
MRC 8671 Sputtering
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Perkin-Elmer 4400 Sputter
Perkin-Elmer 4400 Sputter
Perkin-Elmer 4450 Sputter
Kurt J Lesker Dual Thermo
Plasmalab CVD-2
Mask Aligner/Stepper
Canon / Neutronix PLA501 Front & Back Aligner
Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner
Neutronix PLA-545 UV Mask Aligner
Canon PLA-501 F crated-9
Canon PLA-501 F crated-8
Canon PLA-501 F Parallel Light Mask Aligner 2 sets
Wet Process Plating Equipment
Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4
Semitool SD250 Spin Dryer
STI Semitool Spin Rinse Dryer ST-260
Gold Techni TG25T Bath Rhodium 225 Bath
Coppy MHY Plating
Wet Process Equipment
Wet Process Equipment
Wet Process Equipment
Technic Inc. Portable Wet Bench
Search for: Search products… Search
Plasma Asher Descum
Branson IPC 3000
Branson 3000 Barrel
Branson S3003
Matrix 105
Matrix 205
Matrix Bobcat 209S
Matrix Bobcat 209S
Gasonics L3510
Gasonics L3500
Gasonics Aura 2000LL
Matrix System One Stripper
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Technics Macro Series 2000
PVA Tepla M4L
YES R1
YES R3
LFE PP-151-9
Plasma Etch BT1
Chillers
SMC HRS024-AN-20
SMC HRS018-AN-20
SMC HRS018-WN-20-M
Fisher Scientific Chiller
PolyScience 9102A Chiller
PolyScience 9105 Chiller
PolyScience 6000 Series 6750TG5CWR93
FTS System MAXI COOL
NESLAB SYSTEM II
Neslab CFT-25 Recirculator
Thermo MERLIN Series m150
NESLAB CFT-75
NESLAB CFT-33
NESLAB SYSTEM I
NESLAB SYSTEM II
Neslab RTE-110 Chiller
Thermo Fisher Scientific Chiller
Thermo Fisher Neslab 7
ThermoRack 10-R6AF-3G30-10
Temptronic TP03000A-2300-1
Oven
Oven Technology Inc Oven Technology 4400
VWR Scientific 1370 F Oven
Associated Vacuum Technology
Blue M OV-472A-2 38C-260C 4 sets
VWR 1410 VWR
Sheldon / Bellco 1927 Laboratory Oven
MTI OTF-1200X Open Tube Furnace
RTA RTP RTO RTN
Heatpulse 8800
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Heatpulse 8108
Heatpulse 4108
Heatpulse 4100S
Heatpulse 4100
Heatpulse 610
Mini-Pulse 310
Heatpulse 610
Heatpulse 210
RTP-3000
Coat Develop Bake Equipment
BOLD Technologies INC Batch Develop Station
Solitec Model 820-ACB Automatic Coat Bake 4 sets
Steamboat Semiconductor Developer
SVG-8136 HPO SVG Spin Track
Metrology Tester Prober
EG 1034
EG 2001X with NAVITAR
Electroglas 2001X 3 sets
EG 2001 Wafer Probe (13+14 sets)
EG 3001X
Electroglas Model 3001X
EG4090u+ 8 inch wafer probe
Nanometrics 210 Nanospec AFT
Nanoline CD Measurement
Tencor M-Gage 200
HP 4062 and Testers
Hewlett Packard 4061A
Relay Tester With HP Compaq ,TDK Lambda
Relay Tester With HP Compaq Pickering Interfaces 40-914-001
Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001
Others
Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder
Kulicke & Soffa (K&S) Triton RDA Auto Wedge Bonder
USI Ultron Systems Model UH108
Clone Screening Colony Picker
Wafer Carriers/Susceptors -AL, SSL, Si, SiC, Quartz etc.
Equipment and Parts for AG Associates RTP
4-6 inch Teflon Cassette PFA FLUOROWARE EMPAK Wafer Cassette
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com

More Related Content

What's hot

Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
Emily Tan
 
Sites Making the List the First Time
Sites Making the List the First TimeSites Making the List the First Time
Sites Making the List the First Time
top500
 
Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020
Emily Tan
 
45th TOP500 List
45th TOP500 List45th TOP500 List
45th TOP500 List
top500
 
Presentation of the 40th TOP500 List
Presentation of the 40th TOP500 ListPresentation of the 40th TOP500 List
Presentation of the 40th TOP500 List
top500
 
Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020
Emily Tan
 
MagneTag Presentation Winter Quarter V3.0
MagneTag Presentation Winter Quarter V3.0MagneTag Presentation Winter Quarter V3.0
MagneTag Presentation Winter Quarter V3.0John-Paul Petersen
 
Top500 Slides for June 2014
Top500 Slides for June 2014Top500 Slides for June 2014
Top500 Slides for June 2014
top500
 
Top500 November 2013
Top500 November 2013Top500 November 2013
Top500 November 2013
top500
 
TOP500 List November 2014
TOP500 List November 2014TOP500 List November 2014
TOP500 List November 2014
top500
 
33C3: Code BROWN in the Air
33C3: Code BROWN in the Air33C3: Code BROWN in the Air
33C3: Code BROWN in the Air
Philippe Lin
 
Top500 June 2013
Top500 June 2013 Top500 June 2013
Top500 June 2013
top500
 
Patch Cord Test Adapter Data Sheet
Patch Cord Test Adapter Data SheetPatch Cord Test Adapter Data Sheet
Patch Cord Test Adapter Data Sheet
Fluke Networks
 
Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97Chris Summers
 
Critical Issues at Exascale for Algorithm and Software Design
Critical Issues at Exascale for Algorithm and Software DesignCritical Issues at Exascale for Algorithm and Software Design
Critical Issues at Exascale for Algorithm and Software Designtop500
 
Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012
Lãng Quên
 
EPC8009 -EPC- omoelec.com
EPC8009 -EPC- omoelec.comEPC8009 -EPC- omoelec.com
EPC8009 -EPC- omoelec.com
OMO
 
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
Faisal Akber
 
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 NewOriginal MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
AUTHELECTRONIC
 

What's hot (19)

Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
 
Sites Making the List the First Time
Sites Making the List the First TimeSites Making the List the First Time
Sites Making the List the First Time
 
Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020Semiconductor equipment in USA May 30 2020
Semiconductor equipment in USA May 30 2020
 
45th TOP500 List
45th TOP500 List45th TOP500 List
45th TOP500 List
 
Presentation of the 40th TOP500 List
Presentation of the 40th TOP500 ListPresentation of the 40th TOP500 List
Presentation of the 40th TOP500 List
 
Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020
 
MagneTag Presentation Winter Quarter V3.0
MagneTag Presentation Winter Quarter V3.0MagneTag Presentation Winter Quarter V3.0
MagneTag Presentation Winter Quarter V3.0
 
Top500 Slides for June 2014
Top500 Slides for June 2014Top500 Slides for June 2014
Top500 Slides for June 2014
 
Top500 November 2013
Top500 November 2013Top500 November 2013
Top500 November 2013
 
TOP500 List November 2014
TOP500 List November 2014TOP500 List November 2014
TOP500 List November 2014
 
33C3: Code BROWN in the Air
33C3: Code BROWN in the Air33C3: Code BROWN in the Air
33C3: Code BROWN in the Air
 
Top500 June 2013
Top500 June 2013 Top500 June 2013
Top500 June 2013
 
Patch Cord Test Adapter Data Sheet
Patch Cord Test Adapter Data SheetPatch Cord Test Adapter Data Sheet
Patch Cord Test Adapter Data Sheet
 
Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97
 
Critical Issues at Exascale for Algorithm and Software Design
Critical Issues at Exascale for Algorithm and Software DesignCritical Issues at Exascale for Algorithm and Software Design
Critical Issues at Exascale for Algorithm and Software Design
 
Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012
 
EPC8009 -EPC- omoelec.com
EPC8009 -EPC- omoelec.comEPC8009 -EPC- omoelec.com
EPC8009 -EPC- omoelec.com
 
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
PGCon 2014 - What Do You Mean my Database Server Core Dumped? - How to Inspec...
 
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 NewOriginal MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
 

Similar to Amat p5000 etcher semi star

Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
Emily Tan
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
Emily Tan
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
Emily Tan
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
Emily Tan
 
SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
Emily Tan
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
Emily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
Emily Tan
 
Allwin21 Product Brochures-2024.5-email.pdf
Allwin21 Product Brochures-2024.5-email.pdfAllwin21 Product Brochures-2024.5-email.pdf
Allwin21 Product Brochures-2024.5-email.pdf
Peter Chen
 
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdfAllwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
Peter Chen
 
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdfAllwin21 Product Brochures-Plasma Etcher-2024.5.pdf
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf
Peter Chen
 
AW-1008 plasma microwave 2.45GHz stripper asher
AW-1008  plasma microwave 2.45GHz stripper asherAW-1008  plasma microwave 2.45GHz stripper asher
AW-1008 plasma microwave 2.45GHz stripper asher
Peter Chen
 
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdfAllwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
Peter Chen
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
Emily Tan
 
AccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition EquipmentAccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition Equipment
Peter Chen
 
Plug Valve Installation and Operating Instructions
Plug Valve Installation and Operating InstructionsPlug Valve Installation and Operating Instructions
Plug Valve Installation and Operating Instructions
alexbrown529
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
Peter Chen
 
Plasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eRPlasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eR
Peter Chen
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
Emily Tan
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
Emily Tan
 

Similar to Amat p5000 etcher semi star (20)

Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
SemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdfSemiStar Corp Brochure All for website.pdf
SemiStar Corp Brochure All for website.pdf
 
Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Allwin21 Product Brochures-2024.5-email.pdf
Allwin21 Product Brochures-2024.5-email.pdfAllwin21 Product Brochures-2024.5-email.pdf
Allwin21 Product Brochures-2024.5-email.pdf
 
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdfAllwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
Allwin21 Product Brochures-Rapid Thermal Processors-2024.5.pdf
 
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdfAllwin21 Product Brochures-Plasma Etcher-2024.5.pdf
Allwin21 Product Brochures-Plasma Etcher-2024.5.pdf
 
AW-1008 plasma microwave 2.45GHz stripper asher
AW-1008  plasma microwave 2.45GHz stripper asherAW-1008  plasma microwave 2.45GHz stripper asher
AW-1008 plasma microwave 2.45GHz stripper asher
 
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdfAllwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
Allwin21 Product Brochures-Plasma Asher Descum 2024.5.pdf
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
AccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition EquipmentAccuSputter AW 4450 Sputter Deposition Equipment
AccuSputter AW 4450 Sputter Deposition Equipment
 
Plug Valve Installation and Operating Instructions
Plug Valve Installation and Operating InstructionsPlug Valve Installation and Operating Instructions
Plug Valve Installation and Operating Instructions
 
Tube Data Sheet
Tube Data SheetTube Data Sheet
Tube Data Sheet
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
Plasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eRPlasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eR
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
Emily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
Emily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
Emily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
Emily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
Emily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
Emily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
Emily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
Emily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
Emily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
Emily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
Emily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Emily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
Emily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
Emily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
Emily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
Emily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
Emily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
Emily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 

Recently uploaded

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
Ana-Maria Mihalceanu
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
Sri Ambati
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
Elena Simperl
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
DianaGray10
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Jeffrey Haguewood
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
Kari Kakkonen
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
Alison B. Lowndes
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
Product School
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Inflectra
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
Laura Byrne
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
Product School
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
BookNet Canada
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
Elena Simperl
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
Thijs Feryn
 

Recently uploaded (20)

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
 

Amat p5000 etcher semi star

  • 1. SemiStar Corp. Semiconductor Equipment Parts Service You are here: Home > Products > Applied Materials AMAT P5000 Etcher Applied Materials AMAT P5000 Etcher Refurbished Applied Materials AMAT P5000 Etcher Categories: Etcher, PECVD CVD Tag: AMAT Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
  • 2. Description Refurbished Applied Materials AMAT P5000 Etcher . • Mark II Mainframe • 8 slot storage elevator • Phase III robot • SATA RAID dual hard drive system (upgrade, optional) • Compact flash floppy replacement drive (upgrade,, optional)) • Flat screen monitor x2 (upgrade,, optional)) • One (1) AMAT heat exchanger • One (1) Neslab HX 150 • 50Ft. Hoses and cabling • 12 slot gas panel • Remote frame with ENI OEM 6 or 12B 13.56Mhz generators Chamber A/B/C: • Etch Process • Mark II chamber • 1 torr process manometer • 200mm process kit • Turbo • ENI OEM 12B generator • 1-6 MFC’s calibrated The photo is only for your reference. Other customized configuration is available. This item is only for end users and are subject to prior sale without notice. Appreciate your time. Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers
  • 3. Etch RIE ICP DRIE Oxford Plasmalab System 133 Oxford Plasmalab System 133 Oxford Plasmalab System 133 Oxford Plasmalab System 133 STS PRO ICP Etcher STS Multiplex ICP STS MESC Multiplex ICP STS Multiplex ICP MACS STS multi-chamber Cluster STS Mutiplex ICP Matrix 302 Matrix 303 Tegal 903e Plasma Etch Tegal 903e Plasma Etch Tegal 903e Plasma Etch Lam AutoEtch 590 Lam Auto Etch 590 Lam Rainbow 4728 Lam Rainbow 4420 Envision ver1.5.1 Plasma Therm 700 Plasmatherm SLR 720 Plasmatherm 790 Branson/IPC 4150 Branson/IPC 3000 Technics PE-11A Plasma Etch BT1 Our Main Equipment Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
  • 4. Plasma Etch PE-100 Series Applied Materials Plasma II Plasma Etching Vacuum Pump Ebara A30W Ebara A10S Ebara A07V Boc Edwards Spectron 5000 Helium Leak Detector Laser Trimmer and Service GSI M310 Laser Trimmer GSI M350 Laser Trimmer ESI 3572 Laser Trimmer KVD M2m Tester Laser System Repair, Services and Parts (1) Laser System Repair, Services and Parts (2) Laser System Repair, Services and Parts (3) Laser Services for Thick Film Laser Services for Thin Film Link Blowing Thin Film Equipment Plasma Therm 700 AMAT AMP-3300 PECVD Varian 3118 E-Beam Thermal Varian 3120 Evaporator Varian 3120 EB Evaporator CVC AST-601 Sputter Airco Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 Temescal FC-1800 -TES Airco Temescal FC-1800 Temescal BJD-1800 -TES MRC 603 Sputter MRC 643 Sputter MRC 603 Sputter MRC 603 MRC 693 TES MRC 8671 Sputtering Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
  • 5. Perkin-Elmer 4400 Sputter Perkin-Elmer 4400 Sputter Perkin-Elmer 4450 Sputter Kurt J Lesker Dual Thermo Plasmalab CVD-2 Mask Aligner/Stepper Canon / Neutronix PLA501 Front & Back Aligner Neutronix NV2 Canon PLA 500/501 Wafer Mask Aligner Neutronix PLA-545 UV Mask Aligner Canon PLA-501 F crated-9 Canon PLA-501 F crated-8 Canon PLA-501 F Parallel Light Mask Aligner 2 sets Wet Process Plating Equipment Electroplating Engineers Of Japan LTD EEJA Manual Plater Model #4 Semitool SD250 Spin Dryer STI Semitool Spin Rinse Dryer ST-260 Gold Techni TG25T Bath Rhodium 225 Bath Coppy MHY Plating Wet Process Equipment Wet Process Equipment Wet Process Equipment Technic Inc. Portable Wet Bench Search for: Search products… Search Plasma Asher Descum Branson IPC 3000 Branson 3000 Barrel Branson S3003 Matrix 105 Matrix 205 Matrix Bobcat 209S Matrix Bobcat 209S Gasonics L3510 Gasonics L3500 Gasonics Aura 2000LL Matrix System One Stripper Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
  • 6. Technics Macro Series 2000 PVA Tepla M4L YES R1 YES R3 LFE PP-151-9 Plasma Etch BT1 Chillers SMC HRS024-AN-20 SMC HRS018-AN-20 SMC HRS018-WN-20-M Fisher Scientific Chiller PolyScience 9102A Chiller PolyScience 9105 Chiller PolyScience 6000 Series 6750TG5CWR93 FTS System MAXI COOL NESLAB SYSTEM II Neslab CFT-25 Recirculator Thermo MERLIN Series m150 NESLAB CFT-75 NESLAB CFT-33 NESLAB SYSTEM I NESLAB SYSTEM II Neslab RTE-110 Chiller Thermo Fisher Scientific Chiller Thermo Fisher Neslab 7 ThermoRack 10-R6AF-3G30-10 Temptronic TP03000A-2300-1 Oven Oven Technology Inc Oven Technology 4400 VWR Scientific 1370 F Oven Associated Vacuum Technology Blue M OV-472A-2 38C-260C 4 sets VWR 1410 VWR Sheldon / Bellco 1927 Laboratory Oven MTI OTF-1200X Open Tube Furnace RTA RTP RTO RTN Heatpulse 8800 Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com
  • 7. Heatpulse 8108 Heatpulse 4108 Heatpulse 4100S Heatpulse 4100 Heatpulse 610 Mini-Pulse 310 Heatpulse 610 Heatpulse 210 RTP-3000 Coat Develop Bake Equipment BOLD Technologies INC Batch Develop Station Solitec Model 820-ACB Automatic Coat Bake 4 sets Steamboat Semiconductor Developer SVG-8136 HPO SVG Spin Track Metrology Tester Prober EG 1034 EG 2001X with NAVITAR Electroglas 2001X 3 sets EG 2001 Wafer Probe (13+14 sets) EG 3001X Electroglas Model 3001X EG4090u+ 8 inch wafer probe Nanometrics 210 Nanospec AFT Nanoline CD Measurement Tencor M-Gage 200 HP 4062 and Testers Hewlett Packard 4061A Relay Tester With HP Compaq ,TDK Lambda Relay Tester With HP Compaq Pickering Interfaces 40-914-001 Relay Tester With APC Smart-UPS , HP Compaq Pickering Interfaces 40-914-001 Others Kulicke & Soffa K&S 8028 Auto Gold Ball Bonder Kulicke & Soffa (K&S) Triton RDA Auto Wedge Bonder USI Ultron Systems Model UH108 Clone Screening Colony Picker Wafer Carriers/Susceptors -AL, SSL, Si, SiC, Quartz etc. Equipment and Parts for AG Associates RTP 4-6 inch Teflon Cassette PFA FLUOROWARE EMPAK Wafer Cassette Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com Location: San Jose,CA USA Email us for more info. sales@semistarcorp.com