SlideShare a Scribd company logo
1 of 6
Download to read offline
IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE)
e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 8, Issue 3 (Nov. - Dec. 2013), PP 76-81
www.iosrjournals.org
www.iosrjournals.org 76 | Page
Area Optimized Implementation For Mips Processor
Dasari Kamala Kumari1
, Popuri Venkateswarlu2
1
PG Student (M. Tech), Dept. of ECE, Chirala Engineering College, Chirala., A.P, India.
2
Assistant Professor, Dept. of ECE, Chirala Engineering College, Chirala., A.P, India.
Abstract: The implementation of 32 bit RISC processor with microprocessor without interlocked pipeline
stages (MIPS) is presented. It was implemented in VHDL so as to reduce the instruction set present in the
programmable memory. As the result the processor will contain the necessary logics for the implementation that
requires fewer gates to be synthesized in the programmable matrix and has the capability to increase the speed
of the target processor with reduced memory. In this paper we propose a novel technique of run-time loading of
machine code for MIPS-32 soft-core processor. As we know, implementing fewer instructions on silicon reduces
the complexity of the instruction decoder, the addressing logic, and the execution unit. This allows the machine
to be clocked at a faster speed, since less work needs to be done each clock period. In this paper we used Xilinx-
ISE tool for logical verification, and further synthesizing it on Xilinx-ISE tool using target technology and
performing placing & routing operation for system verification.
Keywords: MIPS, Data Flow, Pipeline, area optimization
I. Introduction
The idea of this project was to create a microprocessor without interlocked pipeline stages (MIPS) as a
building block in which can be later included in larger design. This can be implemented to the system where a
problem is easy to solve in software. A Finite state machine is designed in such a way to reduce the computation
problems. However, at a high level of complexity it is easier to implement the function in software.
Typical processors incorporate arithmetic and logic functional units as well as the associated control logic,
instruction processing circuitry, and a portion of the memory hierarchy. Portions of the interface logic for the
input/output (I/O) and memory subsystems may also be infused, allowing cheaper overall systems. While many
processors and single-chip designs, some high-performance designs rely on a few chips to provide multiple
functional units and relatively large caches.
Operation of Processor:
The processor is an electronic circuit that operates at the speed of an internal clock thanks to a quartz
crystal that, when subjected to an electrical currant, send pulses, called "peaks". The clock speed (also called
cycle), corresponds to the number of pulses per second, written in Hertz (Hz). Thus, a 200 MHz computer has a
clock that sends 200,000,000 pulses per second. Clock frequency is generally a multiple of the system
frequency, meaning a multiple of the motherboard frequency.
With each clock peak, the processor performs an action that corresponds to an instruction or a part
thereof. A measure called CPI (Cycles per Instruction) gives a representation of the average number of clock
cycles required for a processor to execute an instruction. A processor power can thus be characterized by the
number of instructions per second that it is capable of processing. MIPS (millions of instructions per second) is
the unit used and corresponds to the processor frequency divided by the CPI.
II. MIPS FEATURES:
Processors are much faster than memories. For example, a processor clocked at 100 MHz would like to
access memory in 10 nanoseconds, the period of its 100 MHz clock. Unfortunately, the memory interfaced to
the processor might require 60 nanoseconds for an access. So, the processor ends up waiting during each
memory access, wasting execution cycles.
To reduce the number of accesses to main memory, designers added instruction and data cache to the
processors. A cache is a special type of high speed RAM where data and the address of the data are stored.
Whenever the processor tries to read data from main memory, the cache is examined first. If one of the
addresses stored in the cache matches the address being used for the memory read (called a hit), the cache will
supply the data instead. Cache is commonly ten times faster than main memory, so you can see the advantage
of getting data in 10 nanoseconds instead of 60 nanoseconds. Only when we miss (i.e., do not find the required
data in the cache), does it take the full access time of 60 nanoseconds. But this can only happen once. Since a
copy of the new data is written into the cache after a miss. The data will be there the next time we need it.
Instruction cache is used to store frequently used instructions. Data cache is used to store frequently used data.
Area Optimized Implementation For Mips Processor
www.iosrjournals.org 77 | Page
Implementing fewer instructions and addressing modes on silicon reduces the complexity of the instruction
decoder, the addressing logic, and the execution unit. This allows the machine to be clocked at a faster speed,
since less work needs to be done each clock period.
RISC typically has large set of registers. The number of registers available in a processor can affect
performance the same way a memory access does. A complex calculation may require the use of several data
values. If the data values all reside in memory during the calculations, many memory accesses must be used to
utilize them. If the data values are stored in the internal registers of the processor instead, their access during
calculations will be much faster. It is good then to have lot of internal registers.
Comparative Studies of CISC and RISC:
CISC:
CISC is an acronym for complex instruction set computer. The reasons to have the large instruction set are:
 CISC systems are complex as the architecture and the instructions are modified as per the technology and
hence there is a requirement for more number of instructions and therefore will have many addressing
modes.
 The main function of any microprocessor is to fetch the data and process it. The chip as such doesn’t have
any provision for memory i.e., memory is external. As process needs to access data from a memory or from
I/O peripherals there is a necessary for more instructions.
Drawbacks behind having the large instruction set:
 The large instruction set is responsible for a very complicated control logic that generates a host of control
signals and interrupts that are important for the working of the processor.
 This large instruction set creates problems for the compiler as it has to write code for each individual
instruction. It becomes difficult to debug if there is any mistake in the code of the compiler.
 The Large instruction set has a very complicated decoding logic which is responsible for a more number of
stages or for an increased propagation delay of the control logic. This is because an increase in the number
of stages leads to proportionate increase in the number of gates. Transistor has a rise time, a fall time
contributing to the propagation delay of the signal. So at every gate the signal gets delayed and this
cumulative delay in propagation adds up, to decrease the processing speed of the data.
 They have a variety of instruction formats like one byte, two byte, three byte. They have a large number of
addressing modes.
 In general the pipelining is used to increase the speed of memory operations with separate control over the
bus. They are difficult to handle if there is a considerable difference between instruction lengths and
execution cycle of different instructions then the pipeline design will be much more complicated.
RISC (Reduced Instruction Set Computer):
The advantages of instruction set of RISC are as follows
 In the RISC based system the frequently used instructions are hardware realized and it is therefore minimizes
the memory access time and the decoding time.
 It is easy to write code for fixed instruction set, so the compiler supports for efficient translation of high level
language programs into machine language programs.
 As the architecture supports pipeline in different segments, it may be possible to execute number of
instructions in a single instruction cycle.
 Memory access is limited to load accumulator and store accumulator instructions only.
III. The Mips Processor
The MIPS instruction set architecture (ISA) is a RISC based microprocessor architecture that was
developed by MIPS Computer Systems Inc. in the early 1980s. MIPS is now an industry standard and the
performance leader within the embedded industry. Their designs can be found in Canon digital cameras,
Windows CE devices, Cisco Routers, Sony Play Station 2 game consoles, and many more products used in our
everyday lives. By the late 1990s it was estimated that one in three of all RISC chips produced was a MIPS-
based design. MIPS RISC microprocessor architecture characteristics include: fix-length straightforward
decoded instruction format, memory accesses limited to load and store instructions, hardwired control unit, a
large general purpose register file, and all operations are done within the registers of the microprocessor. Due to
these design characteristics, computer architecture courses in university and technical schools around the world
often study the MIPS architecture. One of the most widely used tools that helps students understand MIPS is
SPIM (MIPS spelled backwards) a software simulator that enables the user to read and write MIPS assembly
language programs and execute them. SPIM is a great tool because it allows the user to execute programs one
step or instruction at a time. This then allows the user to see exactly what is happening during their program
Area Optimized Implementation For Mips Processor
www.iosrjournals.org 78 | Page
execution. SPIM also provides a window displaying all general purpose registers which can also be used during
the debug of a program. This simulator is another impressive tool that gives the computer architecture students
an opportunity to visually observe how the MIPS processor works.
MIPS Instruction Set Architecture:
As mentioned before MIPS is a RISC microprocessor architecture. The MIPS Architecture defines
thirty-two, 32-bit general purpose registers (GPRs). Register $r0 is hard-wired and always contains the value
zero. The CPU uses byte addressing for word accesses and must be aligned on a byte boundary divisible by four
(0, 4, 8, …). MIPS only has three instruction types: I-type is used for the Load and Stores instructions, R-type is
used for Arithmetic instructions, and J-type is used for the Jump instructions as shown in Figure1, Table1
provides a description of each of the fields used in the three different instruction types.
Table 1 MIPS Instruction Fields
MIPS is a load/store architecture, meaning that all operations are performed on operands held in the processor
registers and the main memory can only be accessed through the load and store instructions (e.g lw, sw). A load
instruction loads a value from memory into a register. A store instruction stores a value from a register to
memory. The load and store instructions use the sum of the offset value in the address/immediate field and the
base register in the $rs field to address the memory. Arithmetic instructions or R-type include: ALU Immediate
(e.g. addi), three-operand (e.g. add, and, slt), and shift instructions (e.g. sll, srl). The J-type instructions are used
for jump instructions (e.g. j). Branch instructions (e.g. beq, bne) are I-type instructions which use the addition of
an offset value from the current address in the address/immediate field along with the program counter (PC) to
compute the branch target address; this is considered PC-relative addressing. Table 2 shows a summary of the
core MIPS instructions.
Table 2 MIPS Core Instructions
Area Optimized Implementation For Mips Processor
www.iosrjournals.org 79 | Page
Figure 1MIPS Instruction Types
MIPS SINGLE-CYCLE PROCESSOR
The MIPS single-cycle processor performs the tasks of instruction fetch, instruction decode, execution,
memory access and write-back all in one clock cycle. First the PC value is used as an address to index the
instruction memory which supplies a 32-bit value of the next instruction to be executed. This instruction is then
divided into the different fields shown in Table 1. The instructions opcode field bits [31-26] are sent to a control
unit to determine the type of instruction to execute. The type of instruction then determines which control
signals are to be asserted and what function the ALU is to perform, thus decoding the instruction. The
instruction register address fields $rs bits [25 - 21], $rt bits [20 - 16], and $rd bits [15-11] are used to address the
register file. The register file supports two independent register reads and one register write in one clock cycle.
The register file reads in the requested addresses and outputs the data values contained in these registers. These
data values can then be operated on by the ALU whose operation is determined by the control unit to either
compute a memory address (e.g. load or store), compute an arithmetic result (e.g. add, and or slt), or perform a
compare (e.g. branch). If the instruction decoded is arithmetic, the ALU result must be written to a register. If
the instruction decoded is a load or a store, the ALU result is then used to address the data memory. The final
step writes the ALU result or memory value back to the register file.
MIPS PROCESSOR IMPLEMENTATION
The processor is broken up into five stages: instruction fetch (IF), instructions decode
(ID), execute (EX), data memory (M), and write-back (WB).
Instruction Fetch
The IF stage involves keeping track of the current/next instruction as well as retrieve the current instruction
from memory. In this scenario, memory is split into separate instruction and data memories in order to avoid a
structural hazard. That is, simultaneous access to memory, one for instructions and the other for data, is possible
in the architecture shown in Figure 1.
Instruction Decode
On the next cycle, the fetched instruction moves into the ID stage. There, the instruction is broken up into
several fields and inputs into the control logic and register file. Various control signals, register values, and
intermediate values are handed to the EX stage where arithmetic operations are performed (in this case, integer
add and subtract). In addition, the registers’addresses will be forwarded to the hazard detector in this stage. If
there is potential hazard in the system, this stage will perform a stall.
IV. Results & Conclusions
In this research, we adopt top-down design method and use VHDL to describe system. At first, we
design the system from the top, and do in-depth design gradually. The structure and hierarchical of design is
very clear. It is easy to edit and debug. Design of instruction fetch (IF) stage simulates, integrate and routes on
Quartus II 4.3. The result indicates IF stage completes prospective function. Figure 2 shows the simulation result
of the designed processor and figure 3 & 4 shows the RTL schematic of the designed module.
The architecture of the designed MIPs processor is shown in Figure 5. Table 3 shows The device utilization
summary of MIPS Processor which suggests that this device is outperforming the earlier processors.
Area Optimized Implementation For Mips Processor
www.iosrjournals.org 80 | Page
Figure 2 Simulation Results
Figure 3 RTL Schematic
Figure 4 RTL Schematic Internal Section
Figure 5 Architecture of the MIPS Processor
Area Optimized Implementation For Mips Processor
www.iosrjournals.org 81 | Page
Table-3
Device Utilization Summary (estimated values)
Logic Utilization Used Available Utilization
Number of Slices 1478 4656 31%
Number of Slice Flip Flops 896 9312 9%
Number of 4 input LUTs 2500 9312 26%
Number of bonded IOBs 164 232 70%
Number of GCLKs 1 24 4%
Acknowledgements
The authors would like to thank the anonymous reviewers for their comments which were very helpful
in improving the quality and presentation of this paper.
References:
[1] Wang-AiYing, Organization and Structure of Computer, Tsinghua University Press, 2006.
[2] Wang-Yuan Zhen, IBM-PC Macro Asm Program, Huazhong University of Science and Technology Press, 1996.9
[3] Bai-ZhongYing, Computer Organization, Science Press, 2000.11.
[4] MIPS Technologies, Inc. MIPS32™ Architecture For Programmers Volume II: The MIPS32™ Instruction Set June 9, 2003.
[5] Zheng-WeiMin, Tang-ZhiZhong. Computer System Structure (The second edition), Tsinghua University Press, 2006.
[6] Pan-Song, Huang-JiYe, SOPC Technology Utility Tutorial, Tsinghua University Press, 2006.
[7] MIPS32 4KTMProcessor Core Family Software User's Manual, MIPS Technologies Inc. [M]. BeiJing, Engine Industry Press. 2003.
[8] “Rapid Prototyping of digital Systems, a tutorial approach “By – James O. Hamblen and Michael D. Furman.
[9] Yi-Kui, Ding-YueHua, Application of AMCCS5933 Controller in PCI BUS, DCABES2007, 2007.7.759
Authors Profile:
Dasari Kamala Kumari is Pursuing her M. Tech from Chirala Engineering College,
Chirala in the department of Electronics & Communication Engineering (ECE) with
specialization in VLSI & Embedded systems.
Popuri Venkateswarlu is working as an Associate Professor in the department of
Electronics & Communication Engineering in Chirala Engineering College, Chirala. He has
completed masters from JNTUK. He has over 6 years of teaching experience.

More Related Content

What's hot

Central processing unit
Central processing unitCentral processing unit
Central processing unitKamal Acharya
 
Hyper threading technology
Hyper threading technologyHyper threading technology
Hyper threading technologyIshan Khan
 
Presentation on Computer Processor
Presentation on Computer ProcessorPresentation on Computer Processor
Presentation on Computer ProcessorMoizAlSamad
 
Microprocessors and controllers
Microprocessors and controllersMicroprocessors and controllers
Microprocessors and controllersWendy Hemo
 
Computer System Architecture Lecture Note 8.2 Cache Memory
Computer System Architecture Lecture Note 8.2 Cache MemoryComputer System Architecture Lecture Note 8.2 Cache Memory
Computer System Architecture Lecture Note 8.2 Cache MemoryBudditha Hettige
 
Processors and its Types
Processors and its TypesProcessors and its Types
Processors and its TypesNimrah Shahbaz
 
Lecture notes on microprocessor and microcomputer
Lecture notes on microprocessor and microcomputerLecture notes on microprocessor and microcomputer
Lecture notes on microprocessor and microcomputerEkeedaPvtLtd
 
Introduction to Microprocessors
Introduction to MicroprocessorsIntroduction to Microprocessors
Introduction to Microprocessors76 Degree Creative
 
Report on evolution of processor by sandesh agrawal
Report on evolution of processor by sandesh agrawalReport on evolution of processor by sandesh agrawal
Report on evolution of processor by sandesh agrawalSandesh Agrawal
 
Unit 2 processor&memory-organisation
Unit 2 processor&memory-organisationUnit 2 processor&memory-organisation
Unit 2 processor&memory-organisationPavithra S
 
Computer System Architecture Lecture Note 8.1 primary Memory
Computer System Architecture Lecture Note 8.1 primary MemoryComputer System Architecture Lecture Note 8.1 primary Memory
Computer System Architecture Lecture Note 8.1 primary MemoryBudditha Hettige
 
Microprocessor.ppt
Microprocessor.pptMicroprocessor.ppt
Microprocessor.pptsafia kalwar
 
Marek Majtan - Processor Presentation
Marek Majtan - Processor PresentationMarek Majtan - Processor Presentation
Marek Majtan - Processor PresentationMarek Majtan
 
Introduction to-microprocessors
Introduction to-microprocessorsIntroduction to-microprocessors
Introduction to-microprocessorsmudulin
 
Ashutosh kumar ( JAMIA HAMDARD )
Ashutosh kumar ( JAMIA HAMDARD )Ashutosh kumar ( JAMIA HAMDARD )
Ashutosh kumar ( JAMIA HAMDARD )Ashutosh Kumar
 
Evolution Of Microprocessor
Evolution Of MicroprocessorEvolution Of Microprocessor
Evolution Of Microprocessorguest3870d0
 
Microprocessors and microcontrollers
Microprocessors and microcontrollersMicroprocessors and microcontrollers
Microprocessors and microcontrollersAditya Porwal
 

What's hot (20)

Central processing unit
Central processing unitCentral processing unit
Central processing unit
 
Hyper threading technology
Hyper threading technologyHyper threading technology
Hyper threading technology
 
Presentation on Computer Processor
Presentation on Computer ProcessorPresentation on Computer Processor
Presentation on Computer Processor
 
Microprocessors and controllers
Microprocessors and controllersMicroprocessors and controllers
Microprocessors and controllers
 
Computer System Architecture Lecture Note 8.2 Cache Memory
Computer System Architecture Lecture Note 8.2 Cache MemoryComputer System Architecture Lecture Note 8.2 Cache Memory
Computer System Architecture Lecture Note 8.2 Cache Memory
 
Chapter 1 CSC118
Chapter 1 CSC118 Chapter 1 CSC118
Chapter 1 CSC118
 
Classification OF Microprocessor
Classification OF MicroprocessorClassification OF Microprocessor
Classification OF Microprocessor
 
Processors and its Types
Processors and its TypesProcessors and its Types
Processors and its Types
 
Lecture notes on microprocessor and microcomputer
Lecture notes on microprocessor and microcomputerLecture notes on microprocessor and microcomputer
Lecture notes on microprocessor and microcomputer
 
Introduction to Microprocessors
Introduction to MicroprocessorsIntroduction to Microprocessors
Introduction to Microprocessors
 
Report on evolution of processor by sandesh agrawal
Report on evolution of processor by sandesh agrawalReport on evolution of processor by sandesh agrawal
Report on evolution of processor by sandesh agrawal
 
Unit 2 processor&memory-organisation
Unit 2 processor&memory-organisationUnit 2 processor&memory-organisation
Unit 2 processor&memory-organisation
 
Computer System Architecture Lecture Note 8.1 primary Memory
Computer System Architecture Lecture Note 8.1 primary MemoryComputer System Architecture Lecture Note 8.1 primary Memory
Computer System Architecture Lecture Note 8.1 primary Memory
 
Microprocessor.ppt
Microprocessor.pptMicroprocessor.ppt
Microprocessor.ppt
 
Marek Majtan - Processor Presentation
Marek Majtan - Processor PresentationMarek Majtan - Processor Presentation
Marek Majtan - Processor Presentation
 
Co question 2006
Co question 2006Co question 2006
Co question 2006
 
Introduction to-microprocessors
Introduction to-microprocessorsIntroduction to-microprocessors
Introduction to-microprocessors
 
Ashutosh kumar ( JAMIA HAMDARD )
Ashutosh kumar ( JAMIA HAMDARD )Ashutosh kumar ( JAMIA HAMDARD )
Ashutosh kumar ( JAMIA HAMDARD )
 
Evolution Of Microprocessor
Evolution Of MicroprocessorEvolution Of Microprocessor
Evolution Of Microprocessor
 
Microprocessors and microcontrollers
Microprocessors and microcontrollersMicroprocessors and microcontrollers
Microprocessors and microcontrollers
 

Viewers also liked

Speed Control of Dual Induction Motor using Fuzzy Controller
Speed Control of Dual Induction Motor using Fuzzy Controller Speed Control of Dual Induction Motor using Fuzzy Controller
Speed Control of Dual Induction Motor using Fuzzy Controller IOSR Journals
 
Paralyzing Bioinformatics Applications Using Conducive Hadoop Cluster
Paralyzing Bioinformatics Applications Using Conducive Hadoop ClusterParalyzing Bioinformatics Applications Using Conducive Hadoop Cluster
Paralyzing Bioinformatics Applications Using Conducive Hadoop ClusterIOSR Journals
 
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...Accuracy, Sensitivity and Specificity Measurement of Various Classification T...
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...IOSR Journals
 
A Hybrid Approach for Content Based Image Retrieval System
A Hybrid Approach for Content Based Image Retrieval SystemA Hybrid Approach for Content Based Image Retrieval System
A Hybrid Approach for Content Based Image Retrieval SystemIOSR Journals
 
Performance Evaluation of Different Data Mining Classification Algorithm and ...
Performance Evaluation of Different Data Mining Classification Algorithm and ...Performance Evaluation of Different Data Mining Classification Algorithm and ...
Performance Evaluation of Different Data Mining Classification Algorithm and ...IOSR Journals
 
Big Data in Bioinformatics & the Era of Cloud Computing
Big Data in Bioinformatics & the Era of Cloud ComputingBig Data in Bioinformatics & the Era of Cloud Computing
Big Data in Bioinformatics & the Era of Cloud ComputingIOSR Journals
 
A Study on Optimization of Top-k Queries in Relational Databases
A Study on Optimization of Top-k Queries in Relational DatabasesA Study on Optimization of Top-k Queries in Relational Databases
A Study on Optimization of Top-k Queries in Relational DatabasesIOSR Journals
 
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...IOSR Journals
 
Ensuring Privacy in opportunistic Network
Ensuring Privacy in opportunistic NetworkEnsuring Privacy in opportunistic Network
Ensuring Privacy in opportunistic NetworkIOSR Journals
 
Implementation of Cellular IP and Its Performance Analysis
Implementation of Cellular IP and Its Performance AnalysisImplementation of Cellular IP and Its Performance Analysis
Implementation of Cellular IP and Its Performance AnalysisIOSR Journals
 
Enabling Integrity for the Compressed Files in Cloud Server
Enabling Integrity for the Compressed Files in Cloud ServerEnabling Integrity for the Compressed Files in Cloud Server
Enabling Integrity for the Compressed Files in Cloud ServerIOSR Journals
 
Simulation and Partial Discharge Measurement in 400kv Typical GIS Substation
Simulation and Partial Discharge Measurement in 400kv Typical GIS SubstationSimulation and Partial Discharge Measurement in 400kv Typical GIS Substation
Simulation and Partial Discharge Measurement in 400kv Typical GIS SubstationIOSR Journals
 
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...IOSR Journals
 
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...IOSR Journals
 
Developing secure software using Aspect oriented programming
Developing secure software using Aspect oriented programmingDeveloping secure software using Aspect oriented programming
Developing secure software using Aspect oriented programmingIOSR Journals
 

Viewers also liked (20)

Speed Control of Dual Induction Motor using Fuzzy Controller
Speed Control of Dual Induction Motor using Fuzzy Controller Speed Control of Dual Induction Motor using Fuzzy Controller
Speed Control of Dual Induction Motor using Fuzzy Controller
 
Paralyzing Bioinformatics Applications Using Conducive Hadoop Cluster
Paralyzing Bioinformatics Applications Using Conducive Hadoop ClusterParalyzing Bioinformatics Applications Using Conducive Hadoop Cluster
Paralyzing Bioinformatics Applications Using Conducive Hadoop Cluster
 
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...Accuracy, Sensitivity and Specificity Measurement of Various Classification T...
Accuracy, Sensitivity and Specificity Measurement of Various Classification T...
 
A Hybrid Approach for Content Based Image Retrieval System
A Hybrid Approach for Content Based Image Retrieval SystemA Hybrid Approach for Content Based Image Retrieval System
A Hybrid Approach for Content Based Image Retrieval System
 
Performance Evaluation of Different Data Mining Classification Algorithm and ...
Performance Evaluation of Different Data Mining Classification Algorithm and ...Performance Evaluation of Different Data Mining Classification Algorithm and ...
Performance Evaluation of Different Data Mining Classification Algorithm and ...
 
Big Data in Bioinformatics & the Era of Cloud Computing
Big Data in Bioinformatics & the Era of Cloud ComputingBig Data in Bioinformatics & the Era of Cloud Computing
Big Data in Bioinformatics & the Era of Cloud Computing
 
A Study on Optimization of Top-k Queries in Relational Databases
A Study on Optimization of Top-k Queries in Relational DatabasesA Study on Optimization of Top-k Queries in Relational Databases
A Study on Optimization of Top-k Queries in Relational Databases
 
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...
Modified One Cycle Controlled Scheme for Single-Phase Grid Connected Pv-Fc Hy...
 
Ensuring Privacy in opportunistic Network
Ensuring Privacy in opportunistic NetworkEnsuring Privacy in opportunistic Network
Ensuring Privacy in opportunistic Network
 
M017147275
M017147275M017147275
M017147275
 
C017161925
C017161925C017161925
C017161925
 
Implementation of Cellular IP and Its Performance Analysis
Implementation of Cellular IP and Its Performance AnalysisImplementation of Cellular IP and Its Performance Analysis
Implementation of Cellular IP and Its Performance Analysis
 
V180304142149
V180304142149V180304142149
V180304142149
 
Enabling Integrity for the Compressed Files in Cloud Server
Enabling Integrity for the Compressed Files in Cloud ServerEnabling Integrity for the Compressed Files in Cloud Server
Enabling Integrity for the Compressed Files in Cloud Server
 
A0420104
A0420104A0420104
A0420104
 
Simulation and Partial Discharge Measurement in 400kv Typical GIS Substation
Simulation and Partial Discharge Measurement in 400kv Typical GIS SubstationSimulation and Partial Discharge Measurement in 400kv Typical GIS Substation
Simulation and Partial Discharge Measurement in 400kv Typical GIS Substation
 
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...
Behaviour of laying curve in Babcock-380 brown commercial layers in Kelantan,...
 
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...
Performance Analysis of Single Quantum Dots and Couple Quantum Dots at Interm...
 
Developing secure software using Aspect oriented programming
Developing secure software using Aspect oriented programmingDeveloping secure software using Aspect oriented programming
Developing secure software using Aspect oriented programming
 
R180304110115
R180304110115R180304110115
R180304110115
 

Similar to Area Optimized Implementation For Mips Processor

CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfCS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfAsst.prof M.Gokilavani
 
Computer Organization.pptx
Computer Organization.pptxComputer Organization.pptx
Computer Organization.pptxsaimagul310
 
MCI-Unit_1.PPTX electronics communication Engineering
MCI-Unit_1.PPTX electronics communication EngineeringMCI-Unit_1.PPTX electronics communication Engineering
MCI-Unit_1.PPTX electronics communication EngineeringKongaMadhukar
 
Study of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsStudy of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsateeq ateeq
 
A micro chip could be a pc processor which contains the functions of.pdf
A micro chip could be a pc processor which contains the functions of.pdfA micro chip could be a pc processor which contains the functions of.pdf
A micro chip could be a pc processor which contains the functions of.pdfangelnxcom
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip A B Shinde
 
Advanced Processor Power Point Presentation
Advanced Processor  Power Point  PresentationAdvanced Processor  Power Point  Presentation
Advanced Processor Power Point PresentationPrashantYadav931011
 
Intel new processors
Intel new processorsIntel new processors
Intel new processorszaid_b
 
An introduction to digital signal processors 1
An introduction to digital signal processors 1An introduction to digital signal processors 1
An introduction to digital signal processors 1Hossam Hassan
 
Multilevel arch & str org.& mips, 8086, memory
Multilevel arch & str org.& mips, 8086, memoryMultilevel arch & str org.& mips, 8086, memory
Multilevel arch & str org.& mips, 8086, memoryMahesh Kumar Attri
 
Bharath technical seminar.pptx
Bharath technical seminar.pptxBharath technical seminar.pptx
Bharath technical seminar.pptxMadhav Reddy
 
02 the cpu
02 the cpu02 the cpu
02 the cpuJim Finn
 
Chapter 4 Microprocessor CPU
Chapter 4 Microprocessor CPUChapter 4 Microprocessor CPU
Chapter 4 Microprocessor CPUaskme
 
Ca lecture 03
Ca lecture 03Ca lecture 03
Ca lecture 03Haris456
 

Similar to Area Optimized Implementation For Mips Processor (20)

CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfCS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
 
Computer Organization.pptx
Computer Organization.pptxComputer Organization.pptx
Computer Organization.pptx
 
MCI-Unit_1.PPTX electronics communication Engineering
MCI-Unit_1.PPTX electronics communication EngineeringMCI-Unit_1.PPTX electronics communication Engineering
MCI-Unit_1.PPTX electronics communication Engineering
 
Hg3612911294
Hg3612911294Hg3612911294
Hg3612911294
 
Study of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processorsStudy of various factors affecting performance of multi core processors
Study of various factors affecting performance of multi core processors
 
A micro chip could be a pc processor which contains the functions of.pdf
A micro chip could be a pc processor which contains the functions of.pdfA micro chip could be a pc processor which contains the functions of.pdf
A micro chip could be a pc processor which contains the functions of.pdf
 
Processors used in System on chip
Processors used in System on chip Processors used in System on chip
Processors used in System on chip
 
Advanced Processor Power Point Presentation
Advanced Processor  Power Point  PresentationAdvanced Processor  Power Point  Presentation
Advanced Processor Power Point Presentation
 
Ef35745749
Ef35745749Ef35745749
Ef35745749
 
Microprocessors and memory concepts
Microprocessors and memory conceptsMicroprocessors and memory concepts
Microprocessors and memory concepts
 
Intel new processors
Intel new processorsIntel new processors
Intel new processors
 
M&i(lec#01)
M&i(lec#01)M&i(lec#01)
M&i(lec#01)
 
Module 1 unit 3
Module 1  unit 3Module 1  unit 3
Module 1 unit 3
 
An introduction to digital signal processors 1
An introduction to digital signal processors 1An introduction to digital signal processors 1
An introduction to digital signal processors 1
 
esunit1.pptx
esunit1.pptxesunit1.pptx
esunit1.pptx
 
Multilevel arch & str org.& mips, 8086, memory
Multilevel arch & str org.& mips, 8086, memoryMultilevel arch & str org.& mips, 8086, memory
Multilevel arch & str org.& mips, 8086, memory
 
Bharath technical seminar.pptx
Bharath technical seminar.pptxBharath technical seminar.pptx
Bharath technical seminar.pptx
 
02 the cpu
02 the cpu02 the cpu
02 the cpu
 
Chapter 4 Microprocessor CPU
Chapter 4 Microprocessor CPUChapter 4 Microprocessor CPU
Chapter 4 Microprocessor CPU
 
Ca lecture 03
Ca lecture 03Ca lecture 03
Ca lecture 03
 

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...ranjana rawat
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 

Recently uploaded (20)

Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 

Area Optimized Implementation For Mips Processor

  • 1. IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-ISSN: 2278-1676,p-ISSN: 2320-3331, Volume 8, Issue 3 (Nov. - Dec. 2013), PP 76-81 www.iosrjournals.org www.iosrjournals.org 76 | Page Area Optimized Implementation For Mips Processor Dasari Kamala Kumari1 , Popuri Venkateswarlu2 1 PG Student (M. Tech), Dept. of ECE, Chirala Engineering College, Chirala., A.P, India. 2 Assistant Professor, Dept. of ECE, Chirala Engineering College, Chirala., A.P, India. Abstract: The implementation of 32 bit RISC processor with microprocessor without interlocked pipeline stages (MIPS) is presented. It was implemented in VHDL so as to reduce the instruction set present in the programmable memory. As the result the processor will contain the necessary logics for the implementation that requires fewer gates to be synthesized in the programmable matrix and has the capability to increase the speed of the target processor with reduced memory. In this paper we propose a novel technique of run-time loading of machine code for MIPS-32 soft-core processor. As we know, implementing fewer instructions on silicon reduces the complexity of the instruction decoder, the addressing logic, and the execution unit. This allows the machine to be clocked at a faster speed, since less work needs to be done each clock period. In this paper we used Xilinx- ISE tool for logical verification, and further synthesizing it on Xilinx-ISE tool using target technology and performing placing & routing operation for system verification. Keywords: MIPS, Data Flow, Pipeline, area optimization I. Introduction The idea of this project was to create a microprocessor without interlocked pipeline stages (MIPS) as a building block in which can be later included in larger design. This can be implemented to the system where a problem is easy to solve in software. A Finite state machine is designed in such a way to reduce the computation problems. However, at a high level of complexity it is easier to implement the function in software. Typical processors incorporate arithmetic and logic functional units as well as the associated control logic, instruction processing circuitry, and a portion of the memory hierarchy. Portions of the interface logic for the input/output (I/O) and memory subsystems may also be infused, allowing cheaper overall systems. While many processors and single-chip designs, some high-performance designs rely on a few chips to provide multiple functional units and relatively large caches. Operation of Processor: The processor is an electronic circuit that operates at the speed of an internal clock thanks to a quartz crystal that, when subjected to an electrical currant, send pulses, called "peaks". The clock speed (also called cycle), corresponds to the number of pulses per second, written in Hertz (Hz). Thus, a 200 MHz computer has a clock that sends 200,000,000 pulses per second. Clock frequency is generally a multiple of the system frequency, meaning a multiple of the motherboard frequency. With each clock peak, the processor performs an action that corresponds to an instruction or a part thereof. A measure called CPI (Cycles per Instruction) gives a representation of the average number of clock cycles required for a processor to execute an instruction. A processor power can thus be characterized by the number of instructions per second that it is capable of processing. MIPS (millions of instructions per second) is the unit used and corresponds to the processor frequency divided by the CPI. II. MIPS FEATURES: Processors are much faster than memories. For example, a processor clocked at 100 MHz would like to access memory in 10 nanoseconds, the period of its 100 MHz clock. Unfortunately, the memory interfaced to the processor might require 60 nanoseconds for an access. So, the processor ends up waiting during each memory access, wasting execution cycles. To reduce the number of accesses to main memory, designers added instruction and data cache to the processors. A cache is a special type of high speed RAM where data and the address of the data are stored. Whenever the processor tries to read data from main memory, the cache is examined first. If one of the addresses stored in the cache matches the address being used for the memory read (called a hit), the cache will supply the data instead. Cache is commonly ten times faster than main memory, so you can see the advantage of getting data in 10 nanoseconds instead of 60 nanoseconds. Only when we miss (i.e., do not find the required data in the cache), does it take the full access time of 60 nanoseconds. But this can only happen once. Since a copy of the new data is written into the cache after a miss. The data will be there the next time we need it. Instruction cache is used to store frequently used instructions. Data cache is used to store frequently used data.
  • 2. Area Optimized Implementation For Mips Processor www.iosrjournals.org 77 | Page Implementing fewer instructions and addressing modes on silicon reduces the complexity of the instruction decoder, the addressing logic, and the execution unit. This allows the machine to be clocked at a faster speed, since less work needs to be done each clock period. RISC typically has large set of registers. The number of registers available in a processor can affect performance the same way a memory access does. A complex calculation may require the use of several data values. If the data values all reside in memory during the calculations, many memory accesses must be used to utilize them. If the data values are stored in the internal registers of the processor instead, their access during calculations will be much faster. It is good then to have lot of internal registers. Comparative Studies of CISC and RISC: CISC: CISC is an acronym for complex instruction set computer. The reasons to have the large instruction set are:  CISC systems are complex as the architecture and the instructions are modified as per the technology and hence there is a requirement for more number of instructions and therefore will have many addressing modes.  The main function of any microprocessor is to fetch the data and process it. The chip as such doesn’t have any provision for memory i.e., memory is external. As process needs to access data from a memory or from I/O peripherals there is a necessary for more instructions. Drawbacks behind having the large instruction set:  The large instruction set is responsible for a very complicated control logic that generates a host of control signals and interrupts that are important for the working of the processor.  This large instruction set creates problems for the compiler as it has to write code for each individual instruction. It becomes difficult to debug if there is any mistake in the code of the compiler.  The Large instruction set has a very complicated decoding logic which is responsible for a more number of stages or for an increased propagation delay of the control logic. This is because an increase in the number of stages leads to proportionate increase in the number of gates. Transistor has a rise time, a fall time contributing to the propagation delay of the signal. So at every gate the signal gets delayed and this cumulative delay in propagation adds up, to decrease the processing speed of the data.  They have a variety of instruction formats like one byte, two byte, three byte. They have a large number of addressing modes.  In general the pipelining is used to increase the speed of memory operations with separate control over the bus. They are difficult to handle if there is a considerable difference between instruction lengths and execution cycle of different instructions then the pipeline design will be much more complicated. RISC (Reduced Instruction Set Computer): The advantages of instruction set of RISC are as follows  In the RISC based system the frequently used instructions are hardware realized and it is therefore minimizes the memory access time and the decoding time.  It is easy to write code for fixed instruction set, so the compiler supports for efficient translation of high level language programs into machine language programs.  As the architecture supports pipeline in different segments, it may be possible to execute number of instructions in a single instruction cycle.  Memory access is limited to load accumulator and store accumulator instructions only. III. The Mips Processor The MIPS instruction set architecture (ISA) is a RISC based microprocessor architecture that was developed by MIPS Computer Systems Inc. in the early 1980s. MIPS is now an industry standard and the performance leader within the embedded industry. Their designs can be found in Canon digital cameras, Windows CE devices, Cisco Routers, Sony Play Station 2 game consoles, and many more products used in our everyday lives. By the late 1990s it was estimated that one in three of all RISC chips produced was a MIPS- based design. MIPS RISC microprocessor architecture characteristics include: fix-length straightforward decoded instruction format, memory accesses limited to load and store instructions, hardwired control unit, a large general purpose register file, and all operations are done within the registers of the microprocessor. Due to these design characteristics, computer architecture courses in university and technical schools around the world often study the MIPS architecture. One of the most widely used tools that helps students understand MIPS is SPIM (MIPS spelled backwards) a software simulator that enables the user to read and write MIPS assembly language programs and execute them. SPIM is a great tool because it allows the user to execute programs one step or instruction at a time. This then allows the user to see exactly what is happening during their program
  • 3. Area Optimized Implementation For Mips Processor www.iosrjournals.org 78 | Page execution. SPIM also provides a window displaying all general purpose registers which can also be used during the debug of a program. This simulator is another impressive tool that gives the computer architecture students an opportunity to visually observe how the MIPS processor works. MIPS Instruction Set Architecture: As mentioned before MIPS is a RISC microprocessor architecture. The MIPS Architecture defines thirty-two, 32-bit general purpose registers (GPRs). Register $r0 is hard-wired and always contains the value zero. The CPU uses byte addressing for word accesses and must be aligned on a byte boundary divisible by four (0, 4, 8, …). MIPS only has three instruction types: I-type is used for the Load and Stores instructions, R-type is used for Arithmetic instructions, and J-type is used for the Jump instructions as shown in Figure1, Table1 provides a description of each of the fields used in the three different instruction types. Table 1 MIPS Instruction Fields MIPS is a load/store architecture, meaning that all operations are performed on operands held in the processor registers and the main memory can only be accessed through the load and store instructions (e.g lw, sw). A load instruction loads a value from memory into a register. A store instruction stores a value from a register to memory. The load and store instructions use the sum of the offset value in the address/immediate field and the base register in the $rs field to address the memory. Arithmetic instructions or R-type include: ALU Immediate (e.g. addi), three-operand (e.g. add, and, slt), and shift instructions (e.g. sll, srl). The J-type instructions are used for jump instructions (e.g. j). Branch instructions (e.g. beq, bne) are I-type instructions which use the addition of an offset value from the current address in the address/immediate field along with the program counter (PC) to compute the branch target address; this is considered PC-relative addressing. Table 2 shows a summary of the core MIPS instructions. Table 2 MIPS Core Instructions
  • 4. Area Optimized Implementation For Mips Processor www.iosrjournals.org 79 | Page Figure 1MIPS Instruction Types MIPS SINGLE-CYCLE PROCESSOR The MIPS single-cycle processor performs the tasks of instruction fetch, instruction decode, execution, memory access and write-back all in one clock cycle. First the PC value is used as an address to index the instruction memory which supplies a 32-bit value of the next instruction to be executed. This instruction is then divided into the different fields shown in Table 1. The instructions opcode field bits [31-26] are sent to a control unit to determine the type of instruction to execute. The type of instruction then determines which control signals are to be asserted and what function the ALU is to perform, thus decoding the instruction. The instruction register address fields $rs bits [25 - 21], $rt bits [20 - 16], and $rd bits [15-11] are used to address the register file. The register file supports two independent register reads and one register write in one clock cycle. The register file reads in the requested addresses and outputs the data values contained in these registers. These data values can then be operated on by the ALU whose operation is determined by the control unit to either compute a memory address (e.g. load or store), compute an arithmetic result (e.g. add, and or slt), or perform a compare (e.g. branch). If the instruction decoded is arithmetic, the ALU result must be written to a register. If the instruction decoded is a load or a store, the ALU result is then used to address the data memory. The final step writes the ALU result or memory value back to the register file. MIPS PROCESSOR IMPLEMENTATION The processor is broken up into five stages: instruction fetch (IF), instructions decode (ID), execute (EX), data memory (M), and write-back (WB). Instruction Fetch The IF stage involves keeping track of the current/next instruction as well as retrieve the current instruction from memory. In this scenario, memory is split into separate instruction and data memories in order to avoid a structural hazard. That is, simultaneous access to memory, one for instructions and the other for data, is possible in the architecture shown in Figure 1. Instruction Decode On the next cycle, the fetched instruction moves into the ID stage. There, the instruction is broken up into several fields and inputs into the control logic and register file. Various control signals, register values, and intermediate values are handed to the EX stage where arithmetic operations are performed (in this case, integer add and subtract). In addition, the registers’addresses will be forwarded to the hazard detector in this stage. If there is potential hazard in the system, this stage will perform a stall. IV. Results & Conclusions In this research, we adopt top-down design method and use VHDL to describe system. At first, we design the system from the top, and do in-depth design gradually. The structure and hierarchical of design is very clear. It is easy to edit and debug. Design of instruction fetch (IF) stage simulates, integrate and routes on Quartus II 4.3. The result indicates IF stage completes prospective function. Figure 2 shows the simulation result of the designed processor and figure 3 & 4 shows the RTL schematic of the designed module. The architecture of the designed MIPs processor is shown in Figure 5. Table 3 shows The device utilization summary of MIPS Processor which suggests that this device is outperforming the earlier processors.
  • 5. Area Optimized Implementation For Mips Processor www.iosrjournals.org 80 | Page Figure 2 Simulation Results Figure 3 RTL Schematic Figure 4 RTL Schematic Internal Section Figure 5 Architecture of the MIPS Processor
  • 6. Area Optimized Implementation For Mips Processor www.iosrjournals.org 81 | Page Table-3 Device Utilization Summary (estimated values) Logic Utilization Used Available Utilization Number of Slices 1478 4656 31% Number of Slice Flip Flops 896 9312 9% Number of 4 input LUTs 2500 9312 26% Number of bonded IOBs 164 232 70% Number of GCLKs 1 24 4% Acknowledgements The authors would like to thank the anonymous reviewers for their comments which were very helpful in improving the quality and presentation of this paper. References: [1] Wang-AiYing, Organization and Structure of Computer, Tsinghua University Press, 2006. [2] Wang-Yuan Zhen, IBM-PC Macro Asm Program, Huazhong University of Science and Technology Press, 1996.9 [3] Bai-ZhongYing, Computer Organization, Science Press, 2000.11. [4] MIPS Technologies, Inc. MIPS32™ Architecture For Programmers Volume II: The MIPS32™ Instruction Set June 9, 2003. [5] Zheng-WeiMin, Tang-ZhiZhong. Computer System Structure (The second edition), Tsinghua University Press, 2006. [6] Pan-Song, Huang-JiYe, SOPC Technology Utility Tutorial, Tsinghua University Press, 2006. [7] MIPS32 4KTMProcessor Core Family Software User's Manual, MIPS Technologies Inc. [M]. BeiJing, Engine Industry Press. 2003. [8] “Rapid Prototyping of digital Systems, a tutorial approach “By – James O. Hamblen and Michael D. Furman. [9] Yi-Kui, Ding-YueHua, Application of AMCCS5933 Controller in PCI BUS, DCABES2007, 2007.7.759 Authors Profile: Dasari Kamala Kumari is Pursuing her M. Tech from Chirala Engineering College, Chirala in the department of Electronics & Communication Engineering (ECE) with specialization in VLSI & Embedded systems. Popuri Venkateswarlu is working as an Associate Professor in the department of Electronics & Communication Engineering in Chirala Engineering College, Chirala. He has completed masters from JNTUK. He has over 6 years of teaching experience.