SlideShare a Scribd company logo
1 of 7
Download to read offline
International
OPEN ACCESS Journal
Of Modern Engineering Research (IJMER)
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 43|
Design of VGA Controller using VHDL for LCD Display using
FPGA
Khan Huma Aftab1
, Monauwer Alam2
1, 2
(Department of ECE, Integral University, India)
I. INTRODUCTION
The term VGA stands for Video Graphic Array. This VGA is a graphics display systems for PCs
developed by IBM. VGA has become one of the factor standards for PCs. In graphics, generally the resolution is
either 640 by 480 within 16 colors or 320 by 200 within 256 colors. While this resolution has been superseded
in the personal computer market, it is becoming a popular resolution on mobile devices. Figure 1 shows
overview of VGA port connection to a monitor.
Fig 1: VGA port connection to a monitor
II. VGA PRINCIPAL
A colorful image is generated when the electron beam from a cathode ray tube hits the fluorescent
screen. To generate an image, the screen will be scanned by the electron beam. The scan mode can be divided
into progressive scan and interlaced scan.
The progressive scan begins from the top left corner of the screen and it scans point by point from left
to the right of one line. After one line is scanned, the electron beam moves back to the left start point of the next
line and the beam is synchronized by horizontal synchronization signal. During this movement, the cathode ray
tube blanks the electron beam. The beam scans the screen line by line until it reaches to the bottom right corner
of the screen. After all lines scanned, the beam moves back to the top left corner of the screen and the beam is
synchronized by horizontal synchronization signal. During this movement also, the cathode ray tube blanks the
electron beam. At this point, an image frame is generated. Figure 2 shows the progressive scan.
Abstract: Basically, here I have used VGA for implementing basic characters/Symbols that can be either
used in advertisements that deals with real-time application. So, I thought of using VGA as a standard for
this implementation as it is the basic graphics array and compatible with other graphical arrays. This
project also describes about the design of VGA (Video Graphic Array) Controller using combination of
three bit input data to control eight differences colors to display text at monitor by using on board
pushbuttons for the input. Three color signal referred to collectively as R (red), G (green) and B (blue)
signal(i.e. RGB). The VGA monitor using resolution of 640 by 480 by mode to display
Characters/Text/Images in different colors. Here, we used VHDL language on Xilinx ISE software for
interfacing the required peripheral to the Xilinx FPGA Spartan Series. Where, I implementing the
application of VGA with the help of VHDL language on Xilinx FPGA, that will contain the logic part. For
Simulation part we are using Modelsim 10.2. Finally, the results that we obtained for VGA application
implementation.
Keywords: FPGA, Modelsim 10.2a, VGA, VHDL, Xilinx ISE 14.2.
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 44|
The interlaced scanning scans every other line of the screen. After the screen is scanned once, the
electron beam returns to scan the rest lines.
Fig 2: Progressive Scan
2.1 VGA Controller:
Video Graphics Array (VGA) is mostly used for computer monitors, with a high-definition resolution
video standard. It has the ability the ability to transmit a sharp detailed image. VGA uses separate wires to
transmit the three color component signals, vertical and horizontal synchronization signals. Red, green and blue
are three signals that send color information to VGA monitor. There are four main components in VGA
controller which are VGA interface signals, VGA interface definition, VGA control signal, VGA timing Control
and VGA monitor.
2.1.1 VGA Interface Signals:
There are two types VGA interface signals to display which is data signal, and the other one is control
signal. Data signal have three parts which is Red, Green and Blue and for control signal have two parts which is
Horizontal Synchronization and Vertical Synchronization. There are different frequencies of the horizontal
synchronization signal and vertical synchronization signal for the changeable output resolution. Here is a table
to imply the range of
2.2 VGA Interface Definition:
VGA interface sends corresponding display signals to display through DB-15 linker which is directly
connected to Monitor or LCD by monitor cable. There are 15 pinholes which are asymmetrically divided into 3
lines, and there are 5 on each line. [3] Here is Figure 3 showing how these pinholes are arranged.
Fig 3: VGA display port
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 45|
2.3 VGA Color Signal:
RGB Color Model is an additive color model. It generates various colors by adding three basic
colors—red, green and blue. A color can be represented by how much each of the three basic colors is included.
[4] Each of the three basic colors is one color component of the represented color. It can be concluded by the
following expression:
color = f (r, g,b)
They are just shown in Table 1.
Table 1: 3-Bit Display Color Codes.
VGA_R VGA_G VGA_B Resulting Color
0 0 0 Black
0 0 1 Blue
0 1 0 Green
0 1 1 Cyan
1 0 0 Red
1 0 1 Pink
1 1 0 Yellow
1 1 1 White
2.4 VGA Monitor:
Since a VGA monitor is the output device in this thesis, an understanding of how it works is necessary.
This section describes the basics of the VGA technology, and how one goes about controlling it.
A VGA monitor is a complete video displaying system that provides a simple controlling interface, for
the displaying of video images. This interface is defined in a VGA standard. Video images can be defined as
images that consist of the 3 primary colors in various intensities; this creates a 2 dimensional image that is
lifelike in appearance. The VGA monitor interface consists of 5 controlling signals. These are the Red, Green
and Blue colour signals (RGB), the horizontal and vertical sync signals.
From Figure 3.2 below, it shows the VGA monitor with 640 columns by 480 rows. This VGA monitor is based
on 25MHz clock. A single dot of colour on a video monitor does not impact much information. A horizontal line
of pixels carries a bit more information. However, a frame composed of multiple lines can present an image on
the monitor screen. A frame of VGA video typically has 480 lines and each line usually contains 640 pixels.
III. METHODOLOGY
3.1 The VGA Technology:
At the heart of the VGA monitor is the CRT described earlier. This time however, there are 3 electrons
guns for each of the primary colours. The electron beam is scanned across the display section, row by row,
starting from the top row. On the displaying end of the tube, there are 3 different phosphors, for each of the
colours. The VGA monitor also contains the electronics that drive the horizontal and vertical deflection plates to
control this scanning process. The scanning process takes place at a constant rate, which is defined in the VGA
standard.
The viewing part of the monitor contains 480 x 640 picture elements, or pixels. The voltage levels on
the RGB control signals determine the colour of each pixel, when the electron beam scans across this pixel. In
order to provide motion in the image, the image needs to be refreshed at least 60 times per second as the human
eye can spot flicker at refresh rates less than about 30 times per seconds. For 480 x 640 pixels VGA monitor, at
a 60Hz refresh rate, approximately 40ns is needed per pixel. A 25 Mhz clock has a 40ns period
3.2 VGA Timing Control:
Pixels are updated in a serial fashion. The pixel clock runs at 25MHz. The electron beam starts at (0, 0)
and goes horizontally through the first row, up to the last row, and last pixel at (479,639).
Timing of VGA signals are ruled by VESA. Here is a short introduction about how FPGA drive the
VGA display with 640×480@60Hz. In the standard of VGA industry, the output frequency of pixel is
25.175MHz, and the frequencies of horizontal scan and vertical scan are 31.496 KHz and 59.940 Hz. If display
receives this standard frequency, then the resolution will be 640×480, and refresh rate is 60Hz. [2]
The VGA vertical timing is basically the same as the VGA Horizontal Timing. The difference is that
vertical synchronization pulse represents the end of one image frame and the start of the next frame. The RGB
data during display interval includes all lines of the screen.
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 46|
3.3 System Requirement Analysis:
Figure 4 shows the devices required in this project. We need Spartan 3E FPGA board which contain
VGA interface. The host PC is used to configure the hardware design and download the designed from FPGA
board. The display is controlled by the FPGA board interface and displayed on the LCD Monitor through VGA
interface. From these devices, the following components are required:
 Spartan 3E FPGA board.
 SDRAM Controller for Memory
 VGA Controller Interface
 Clocks for processor, SDRAM and VGA
Fig 4: Required Devices and Collections
Fig 5: Block Diagram of VGA Controller
IV. SIMULATION RESULTS
In this paper i interface a VGA port available on FPGA board to generate the character(s) from ASCII
text characters. To display text on video controller is an important function. I made the Character generation
circuit that took the character from internal ROM to facilitate the display of text characters on a screen. To
display text on my VGA display, I organized the 640x480 display area into "tiles" where each tile represents a
character location. In this project, the font size of each character is 16x8 (height & Width). This font will display
80 text characters in each line Mapped onto a 640x480 display (i.e., 640 pixels divided by 8 columns per
character) and 30 lines (480 / 16). Each of the 640x480 pixels in the display are associated with one of the
80x30 character locations fig 6 shows the simulation result of VGA controller for display color input 100,
display output color 100 and background color is 011.
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 47|
Fig 6: Simulation Result for VGA
Fig 7 shows the simulation result of VGA controller for display color input 110, display output color 110 and
background color is 001.
Fig 7: Simulation Result for VGA
We have implemented our work on FPGA Spartan3E also and we get result for different display color
inputs by three DIP switches on FPGA board. DIP switches are responsible for the color of display character
and background color. We need a CRT monitor to visualize the effects (Results may be vary for different CRT
manufacturers) shows in fig 8.
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 48|
(a)
(b)
Fig 8: Output display by FPGA kit.
We are using Xilinx tool for synthesis our code fig 9 shows the main RTL of our code.
Fig 9: Main RTL
Design of VGA Controller using VHDL for LCD Display using FPGA
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 49|
V. CONCLUSION
In this paper I interface a VGA port available on FPGA board to generate the character(s) from ASCII
text characters. To display text on video controller is an important function. I made the Character generation
circuit that took the character from internet ROM to facilitate the display of text characters on a screen. To
display text on my VGA display, I organized the 640x480 display area into "tiles" where each tile represents a
character location. In this project, the font size of each character is 16x8(height & Width). This font will display
80 text characters in each line Mapped onto a 640x480 display (i.e., 640 pixels divided by 8 columns per
character) and 30 lines (480 / 16). Each of the 640x480 pixels in the display are associated with one of the
80x30 character locations.
VGA is an important output section for displaying the results. My work could be move further for
interfacing the keyboard (PS2/USB) for more interesting real world implementation to a complete gaming
device.
REFERENCES
[1.] AvalonSwitchFabricAccessedmarch32014.www.ee.ryerson.ca/%7Ecourses/coe718/DataSheets/sopc/AVALONB
US_qii54003.pdf.
[2.] VGA Timing. Accessed march 7 2014 martin.hinner.info/vga/timing.html
[3.] VGA Interface and Pin out. Accessed march 7 2014http://www.allpinouts.org/index.php/VGA_15_Pin
[4.] RGB Color Model. Accessed march 7 2014 http://en.wikipedia.org/wiki/RGB_color_model
[5.] Wisniewski, Remigiusz (2009). Synthesis of compositional microprogram control units for programmable devices.
Zielona Góra: University of Zielona Góra. p. 153. ISBN 978-83-7481-293-1.

More Related Content

What's hot

Esp32 cam arduino-123
Esp32 cam arduino-123Esp32 cam arduino-123
Esp32 cam arduino-123Victor Sue
 
Design of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEDesign of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEnandivashishth
 
Traffic control using image processing
Traffic control using image processingTraffic control using image processing
Traffic control using image processingChirag Panchal
 
105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1Savvas Dimopoulos
 
vehicular communications
vehicular communicationsvehicular communications
vehicular communicationsSaikiran Guduri
 
Image Enhancement in Spatial Domain
Image Enhancement in Spatial DomainImage Enhancement in Spatial Domain
Image Enhancement in Spatial DomainDEEPASHRI HK
 
Lecture 15 DCT, Walsh and Hadamard Transform
Lecture 15 DCT, Walsh and Hadamard TransformLecture 15 DCT, Walsh and Hadamard Transform
Lecture 15 DCT, Walsh and Hadamard TransformVARUN KUMAR
 
Electronic voting machine using RFID
Electronic voting machine using RFIDElectronic voting machine using RFID
Electronic voting machine using RFIDBharath Chapala
 
Sigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSatish Patil
 
Traffic sign recognition
Traffic sign recognitionTraffic sign recognition
Traffic sign recognitionAKR Education
 
Embedded Systems Training Report
Embedded Systems Training ReportEmbedded Systems Training Report
Embedded Systems Training ReportAkhil Garg
 
Report of Advance car security system major project
Report of Advance car security system major projectReport of Advance car security system major project
Report of Advance car security system major projectAmi Goswami
 
VGA VHDL RTL design tutorial
VGA  VHDL   RTL design tutorialVGA  VHDL   RTL design tutorial
VGA VHDL RTL design tutorialNabil Chouba
 
wireless notice board
 wireless notice board wireless notice board
wireless notice boardAnmol Purohit
 
E- Notice Board Presentation
E- Notice Board PresentationE- Notice Board Presentation
E- Notice Board Presentationayushi jain
 
Digital image processing
Digital image processingDigital image processing
Digital image processingSangavi G
 

What's hot (20)

Multipliers in VLSI
Multipliers in VLSIMultipliers in VLSI
Multipliers in VLSI
 
Esp32 cam arduino-123
Esp32 cam arduino-123Esp32 cam arduino-123
Esp32 cam arduino-123
 
Design of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEDesign of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCE
 
Traffic control using image processing
Traffic control using image processingTraffic control using image processing
Traffic control using image processing
 
105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1105926921 cmos-digital-integrated-circuits-solution-manual-1
105926921 cmos-digital-integrated-circuits-solution-manual-1
 
vehicular communications
vehicular communicationsvehicular communications
vehicular communications
 
Image Enhancement in Spatial Domain
Image Enhancement in Spatial DomainImage Enhancement in Spatial Domain
Image Enhancement in Spatial Domain
 
Pn sequence
Pn sequencePn sequence
Pn sequence
 
Lecture 15 DCT, Walsh and Hadamard Transform
Lecture 15 DCT, Walsh and Hadamard TransformLecture 15 DCT, Walsh and Hadamard Transform
Lecture 15 DCT, Walsh and Hadamard Transform
 
Electronic voting machine using RFID
Electronic voting machine using RFIDElectronic voting machine using RFID
Electronic voting machine using RFID
 
Stress detection using Image processing
Stress detection using Image processingStress detection using Image processing
Stress detection using Image processing
 
Wiener Filter
Wiener FilterWiener Filter
Wiener Filter
 
Sigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital ConvertersSigma-Delta Analog to Digital Converters
Sigma-Delta Analog to Digital Converters
 
Traffic sign recognition
Traffic sign recognitionTraffic sign recognition
Traffic sign recognition
 
Embedded Systems Training Report
Embedded Systems Training ReportEmbedded Systems Training Report
Embedded Systems Training Report
 
Report of Advance car security system major project
Report of Advance car security system major projectReport of Advance car security system major project
Report of Advance car security system major project
 
VGA VHDL RTL design tutorial
VGA  VHDL   RTL design tutorialVGA  VHDL   RTL design tutorial
VGA VHDL RTL design tutorial
 
wireless notice board
 wireless notice board wireless notice board
wireless notice board
 
E- Notice Board Presentation
E- Notice Board PresentationE- Notice Board Presentation
E- Notice Board Presentation
 
Digital image processing
Digital image processingDigital image processing
Digital image processing
 

Viewers also liked

Realisation de controlleur VGA(VHDL)
Realisation de controlleur VGA(VHDL)Realisation de controlleur VGA(VHDL)
Realisation de controlleur VGA(VHDL)yahya ayari
 
Study of the dynamic behavior of a pump with Code_ASTER on Simulagora
Study of the dynamic behavior of a pump with Code_ASTER on SimulagoraStudy of the dynamic behavior of a pump with Code_ASTER on Simulagora
Study of the dynamic behavior of a pump with Code_ASTER on SimulagoraLogilab
 
Composants reconfigurables
Composants reconfigurablesComposants reconfigurables
Composants reconfigurablesPeronnin Eric
 
Rapportpfanidbelkacem 140305043828-phpapp01
Rapportpfanidbelkacem 140305043828-phpapp01Rapportpfanidbelkacem 140305043828-phpapp01
Rapportpfanidbelkacem 140305043828-phpapp01bessem ellili
 
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...Khagendra Gautam
 
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...Khagendra Gautam
 
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...Khagendra Gautam
 
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...Khagendra Gautam
 
Mechanical workshop practice-II --2015 by sudarshan.bollapu
Mechanical workshop practice-II  --2015 by sudarshan.bollapuMechanical workshop practice-II  --2015 by sudarshan.bollapu
Mechanical workshop practice-II --2015 by sudarshan.bollapuDr B Sudarshan
 
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...Engineering mechanics 1 handwritten classes notes (study materials) for IES P...
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...Khagendra Gautam
 
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...Engineering mechanics 2 handwritten classes notes (study materials) for IES P...
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...Khagendra Gautam
 
Mechanical Design Concepts for Non-mechanical Engineers
Mechanical Design Concepts for Non-mechanical EngineersMechanical Design Concepts for Non-mechanical Engineers
Mechanical Design Concepts for Non-mechanical EngineersLiving Online
 
Mechanical workshop practice 2 by sudarshan.bollapu
Mechanical workshop practice  2 by sudarshan.bollapuMechanical workshop practice  2 by sudarshan.bollapu
Mechanical workshop practice 2 by sudarshan.bollapuDr B Sudarshan
 
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical Engineering
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical EngineeringManufacturing Practice - Lab Manual - B.Tech. - Mechanical Engineering
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical EngineeringAmber Bhaumik
 
Controller Area Network (Basic Level Presentation)
Controller Area Network (Basic Level Presentation)Controller Area Network (Basic Level Presentation)
Controller Area Network (Basic Level Presentation)Vikas Kumar
 
Moteur Asynchrone
Moteur AsynchroneMoteur Asynchrone
Moteur AsynchroneMed Amine
 
Conception robot mobile
Conception robot mobile Conception robot mobile
Conception robot mobile Mouna Souissi
 
Conception et réalisation d’un robot de supervision : acquisition et échange ...
Conception et réalisation d’un robot de supervision : acquisition et échange ...Conception et réalisation d’un robot de supervision : acquisition et échange ...
Conception et réalisation d’un robot de supervision : acquisition et échange ...BADDOU mohamed
 
Personalization of the Web Search
Personalization of the Web SearchPersonalization of the Web Search
Personalization of the Web SearchIJMER
 

Viewers also liked (20)

Realisation de controlleur VGA(VHDL)
Realisation de controlleur VGA(VHDL)Realisation de controlleur VGA(VHDL)
Realisation de controlleur VGA(VHDL)
 
Study of the dynamic behavior of a pump with Code_ASTER on Simulagora
Study of the dynamic behavior of a pump with Code_ASTER on SimulagoraStudy of the dynamic behavior of a pump with Code_ASTER on Simulagora
Study of the dynamic behavior of a pump with Code_ASTER on Simulagora
 
Composants reconfigurables
Composants reconfigurablesComposants reconfigurables
Composants reconfigurables
 
Rapportpfanidbelkacem 140305043828-phpapp01
Rapportpfanidbelkacem 140305043828-phpapp01Rapportpfanidbelkacem 140305043828-phpapp01
Rapportpfanidbelkacem 140305043828-phpapp01
 
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 1 (md) Mechanical Engineering handwritten classes notes (study...
 
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 5 (md) Mechanical Engineering handwritten classes notes (study...
 
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 3 (md) Mechanical Engineering handwritten classes notes (study...
 
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...
Machine design 2 (md) Mechanical Engineering handwritten classes notes (study...
 
Mechanical workshop practice-II --2015 by sudarshan.bollapu
Mechanical workshop practice-II  --2015 by sudarshan.bollapuMechanical workshop practice-II  --2015 by sudarshan.bollapu
Mechanical workshop practice-II --2015 by sudarshan.bollapu
 
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...Engineering mechanics 1 handwritten classes notes (study materials) for IES P...
Engineering mechanics 1 handwritten classes notes (study materials) for IES P...
 
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...Engineering mechanics 2 handwritten classes notes (study materials) for IES P...
Engineering mechanics 2 handwritten classes notes (study materials) for IES P...
 
Mechanical Design Concepts for Non-mechanical Engineers
Mechanical Design Concepts for Non-mechanical EngineersMechanical Design Concepts for Non-mechanical Engineers
Mechanical Design Concepts for Non-mechanical Engineers
 
Mechanical workshop practice 2 by sudarshan.bollapu
Mechanical workshop practice  2 by sudarshan.bollapuMechanical workshop practice  2 by sudarshan.bollapu
Mechanical workshop practice 2 by sudarshan.bollapu
 
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical Engineering
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical EngineeringManufacturing Practice - Lab Manual - B.Tech. - Mechanical Engineering
Manufacturing Practice - Lab Manual - B.Tech. - Mechanical Engineering
 
Présentation robotique
Présentation robotiquePrésentation robotique
Présentation robotique
 
Controller Area Network (Basic Level Presentation)
Controller Area Network (Basic Level Presentation)Controller Area Network (Basic Level Presentation)
Controller Area Network (Basic Level Presentation)
 
Moteur Asynchrone
Moteur AsynchroneMoteur Asynchrone
Moteur Asynchrone
 
Conception robot mobile
Conception robot mobile Conception robot mobile
Conception robot mobile
 
Conception et réalisation d’un robot de supervision : acquisition et échange ...
Conception et réalisation d’un robot de supervision : acquisition et échange ...Conception et réalisation d’un robot de supervision : acquisition et échange ...
Conception et réalisation d’un robot de supervision : acquisition et échange ...
 
Personalization of the Web Search
Personalization of the Web SearchPersonalization of the Web Search
Personalization of the Web Search
 

Similar to Design of VGA Controller using VHDL for LCD Display using FPGA

Design of vga based pong game using fpga
Design  of vga based pong game using fpgaDesign  of vga based pong game using fpga
Design of vga based pong game using fpgaRamanan Rajaraman
 
Iaetsd vlsi based implementation of a digital
Iaetsd vlsi based implementation of a digitalIaetsd vlsi based implementation of a digital
Iaetsd vlsi based implementation of a digitalIaetsd Iaetsd
 
Colour Rendering For True Colour Led Display System
Colour Rendering For True Colour Led Display SystemColour Rendering For True Colour Led Display System
Colour Rendering For True Colour Led Display SystemIJMER
 
2 Level Guitar Hero Final Report
2 Level Guitar Hero Final Report2 Level Guitar Hero Final Report
2 Level Guitar Hero Final ReportCem Recai Çırak
 
Computer Graphics Notes
Computer Graphics NotesComputer Graphics Notes
Computer Graphics NotesGurpreet singh
 
Snake Game on FPGA in Verilog
Snake Game on FPGA in VerilogSnake Game on FPGA in Verilog
Snake Game on FPGA in VerilogKrishnajith S S
 
monitors,vga and svga
monitors,vga and svgamonitors,vga and svga
monitors,vga and svgamafiavish
 
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...IJERA Editor
 
AUTOMATIC DETECTION OF OVERSPEED VEHICLE
AUTOMATIC DETECTION OF OVERSPEED VEHICLEAUTOMATIC DETECTION OF OVERSPEED VEHICLE
AUTOMATIC DETECTION OF OVERSPEED VEHICLEIRJET Journal
 
FPGA based JPEG Encoder
FPGA based JPEG EncoderFPGA based JPEG Encoder
FPGA based JPEG EncoderIJERA Editor
 
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...IJERA Editor
 
OV7670 Camera interfacing-with-arduino-microcontroller
OV7670 Camera interfacing-with-arduino-microcontrollerOV7670 Camera interfacing-with-arduino-microcontroller
OV7670 Camera interfacing-with-arduino-microcontrollerSomnath Sharma
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd Iaetsd
 

Similar to Design of VGA Controller using VHDL for LCD Display using FPGA (20)

Design of vga based pong game using fpga
Design  of vga based pong game using fpgaDesign  of vga based pong game using fpga
Design of vga based pong game using fpga
 
Iaetsd vlsi based implementation of a digital
Iaetsd vlsi based implementation of a digitalIaetsd vlsi based implementation of a digital
Iaetsd vlsi based implementation of a digital
 
Colour Rendering For True Colour Led Display System
Colour Rendering For True Colour Led Display SystemColour Rendering For True Colour Led Display System
Colour Rendering For True Colour Led Display System
 
Real Time Video Processing in FPGA
Real Time Video Processing in FPGA Real Time Video Processing in FPGA
Real Time Video Processing in FPGA
 
2 Level Guitar Hero Final Report
2 Level Guitar Hero Final Report2 Level Guitar Hero Final Report
2 Level Guitar Hero Final Report
 
Computer Graphics Notes
Computer Graphics NotesComputer Graphics Notes
Computer Graphics Notes
 
GPU
GPUGPU
GPU
 
Snake Game on FPGA in Verilog
Snake Game on FPGA in VerilogSnake Game on FPGA in Verilog
Snake Game on FPGA in Verilog
 
monitors,vga and svga
monitors,vga and svgamonitors,vga and svga
monitors,vga and svga
 
Resume
ResumeResume
Resume
 
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...
FPGA based Real-time Automatic Number Plate Recognition System for Modern Lic...
 
Unit i
Unit  iUnit  i
Unit i
 
AUTOMATIC DETECTION OF OVERSPEED VEHICLE
AUTOMATIC DETECTION OF OVERSPEED VEHICLEAUTOMATIC DETECTION OF OVERSPEED VEHICLE
AUTOMATIC DETECTION OF OVERSPEED VEHICLE
 
An734
An734An734
An734
 
FPGA based JPEG Encoder
FPGA based JPEG EncoderFPGA based JPEG Encoder
FPGA based JPEG Encoder
 
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...
FPGA Based IP Core Initialization for Ps2-Vga Peripherals Using Microblaze Pr...
 
OV7670 Camera interfacing-with-arduino-microcontroller
OV7670 Camera interfacing-with-arduino-microcontrollerOV7670 Camera interfacing-with-arduino-microcontroller
OV7670 Camera interfacing-with-arduino-microcontroller
 
Capturing and Displaying Digital Image
Capturing and Displaying  Digital ImageCapturing and Displaying  Digital Image
Capturing and Displaying Digital Image
 
Cuda project paper
Cuda project paperCuda project paper
Cuda project paper
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutional
 

More from IJMER

A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...IJMER
 
Developing Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingDeveloping Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingIJMER
 
Study & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreStudy & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreIJMER
 
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)IJMER
 
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...IJMER
 
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...IJMER
 
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...IJMER
 
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...IJMER
 
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationStatic Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationIJMER
 
High Speed Effortless Bicycle
High Speed Effortless BicycleHigh Speed Effortless Bicycle
High Speed Effortless BicycleIJMER
 
Integration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIntegration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIJMER
 
Microcontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemMicrocontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemIJMER
 
On some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesOn some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesIJMER
 
Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...IJMER
 
Natural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningNatural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningIJMER
 
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessEvolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessIJMER
 
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersMaterial Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersIJMER
 
Studies On Energy Conservation And Audit
Studies On Energy Conservation And AuditStudies On Energy Conservation And Audit
Studies On Energy Conservation And AuditIJMER
 
An Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLAn Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLIJMER
 
Discrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyDiscrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyIJMER
 

More from IJMER (20)

A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...
 
Developing Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingDeveloping Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed Delinting
 
Study & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreStudy & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja Fibre
 
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
 
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
 
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
 
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
 
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
 
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationStatic Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
 
High Speed Effortless Bicycle
High Speed Effortless BicycleHigh Speed Effortless Bicycle
High Speed Effortless Bicycle
 
Integration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIntegration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise Applications
 
Microcontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemMicrocontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation System
 
On some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesOn some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological Spaces
 
Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...
 
Natural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningNatural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine Learning
 
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessEvolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
 
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersMaterial Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
 
Studies On Energy Conservation And Audit
Studies On Energy Conservation And AuditStudies On Energy Conservation And Audit
Studies On Energy Conservation And Audit
 
An Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLAn Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDL
 
Discrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyDiscrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One Prey
 

Recently uploaded

power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 

Recently uploaded (20)

power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 

Design of VGA Controller using VHDL for LCD Display using FPGA

  • 1. International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 43| Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab1 , Monauwer Alam2 1, 2 (Department of ECE, Integral University, India) I. INTRODUCTION The term VGA stands for Video Graphic Array. This VGA is a graphics display systems for PCs developed by IBM. VGA has become one of the factor standards for PCs. In graphics, generally the resolution is either 640 by 480 within 16 colors or 320 by 200 within 256 colors. While this resolution has been superseded in the personal computer market, it is becoming a popular resolution on mobile devices. Figure 1 shows overview of VGA port connection to a monitor. Fig 1: VGA port connection to a monitor II. VGA PRINCIPAL A colorful image is generated when the electron beam from a cathode ray tube hits the fluorescent screen. To generate an image, the screen will be scanned by the electron beam. The scan mode can be divided into progressive scan and interlaced scan. The progressive scan begins from the top left corner of the screen and it scans point by point from left to the right of one line. After one line is scanned, the electron beam moves back to the left start point of the next line and the beam is synchronized by horizontal synchronization signal. During this movement, the cathode ray tube blanks the electron beam. The beam scans the screen line by line until it reaches to the bottom right corner of the screen. After all lines scanned, the beam moves back to the top left corner of the screen and the beam is synchronized by horizontal synchronization signal. During this movement also, the cathode ray tube blanks the electron beam. At this point, an image frame is generated. Figure 2 shows the progressive scan. Abstract: Basically, here I have used VGA for implementing basic characters/Symbols that can be either used in advertisements that deals with real-time application. So, I thought of using VGA as a standard for this implementation as it is the basic graphics array and compatible with other graphical arrays. This project also describes about the design of VGA (Video Graphic Array) Controller using combination of three bit input data to control eight differences colors to display text at monitor by using on board pushbuttons for the input. Three color signal referred to collectively as R (red), G (green) and B (blue) signal(i.e. RGB). The VGA monitor using resolution of 640 by 480 by mode to display Characters/Text/Images in different colors. Here, we used VHDL language on Xilinx ISE software for interfacing the required peripheral to the Xilinx FPGA Spartan Series. Where, I implementing the application of VGA with the help of VHDL language on Xilinx FPGA, that will contain the logic part. For Simulation part we are using Modelsim 10.2. Finally, the results that we obtained for VGA application implementation. Keywords: FPGA, Modelsim 10.2a, VGA, VHDL, Xilinx ISE 14.2.
  • 2. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 44| The interlaced scanning scans every other line of the screen. After the screen is scanned once, the electron beam returns to scan the rest lines. Fig 2: Progressive Scan 2.1 VGA Controller: Video Graphics Array (VGA) is mostly used for computer monitors, with a high-definition resolution video standard. It has the ability the ability to transmit a sharp detailed image. VGA uses separate wires to transmit the three color component signals, vertical and horizontal synchronization signals. Red, green and blue are three signals that send color information to VGA monitor. There are four main components in VGA controller which are VGA interface signals, VGA interface definition, VGA control signal, VGA timing Control and VGA monitor. 2.1.1 VGA Interface Signals: There are two types VGA interface signals to display which is data signal, and the other one is control signal. Data signal have three parts which is Red, Green and Blue and for control signal have two parts which is Horizontal Synchronization and Vertical Synchronization. There are different frequencies of the horizontal synchronization signal and vertical synchronization signal for the changeable output resolution. Here is a table to imply the range of 2.2 VGA Interface Definition: VGA interface sends corresponding display signals to display through DB-15 linker which is directly connected to Monitor or LCD by monitor cable. There are 15 pinholes which are asymmetrically divided into 3 lines, and there are 5 on each line. [3] Here is Figure 3 showing how these pinholes are arranged. Fig 3: VGA display port
  • 3. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 45| 2.3 VGA Color Signal: RGB Color Model is an additive color model. It generates various colors by adding three basic colors—red, green and blue. A color can be represented by how much each of the three basic colors is included. [4] Each of the three basic colors is one color component of the represented color. It can be concluded by the following expression: color = f (r, g,b) They are just shown in Table 1. Table 1: 3-Bit Display Color Codes. VGA_R VGA_G VGA_B Resulting Color 0 0 0 Black 0 0 1 Blue 0 1 0 Green 0 1 1 Cyan 1 0 0 Red 1 0 1 Pink 1 1 0 Yellow 1 1 1 White 2.4 VGA Monitor: Since a VGA monitor is the output device in this thesis, an understanding of how it works is necessary. This section describes the basics of the VGA technology, and how one goes about controlling it. A VGA monitor is a complete video displaying system that provides a simple controlling interface, for the displaying of video images. This interface is defined in a VGA standard. Video images can be defined as images that consist of the 3 primary colors in various intensities; this creates a 2 dimensional image that is lifelike in appearance. The VGA monitor interface consists of 5 controlling signals. These are the Red, Green and Blue colour signals (RGB), the horizontal and vertical sync signals. From Figure 3.2 below, it shows the VGA monitor with 640 columns by 480 rows. This VGA monitor is based on 25MHz clock. A single dot of colour on a video monitor does not impact much information. A horizontal line of pixels carries a bit more information. However, a frame composed of multiple lines can present an image on the monitor screen. A frame of VGA video typically has 480 lines and each line usually contains 640 pixels. III. METHODOLOGY 3.1 The VGA Technology: At the heart of the VGA monitor is the CRT described earlier. This time however, there are 3 electrons guns for each of the primary colours. The electron beam is scanned across the display section, row by row, starting from the top row. On the displaying end of the tube, there are 3 different phosphors, for each of the colours. The VGA monitor also contains the electronics that drive the horizontal and vertical deflection plates to control this scanning process. The scanning process takes place at a constant rate, which is defined in the VGA standard. The viewing part of the monitor contains 480 x 640 picture elements, or pixels. The voltage levels on the RGB control signals determine the colour of each pixel, when the electron beam scans across this pixel. In order to provide motion in the image, the image needs to be refreshed at least 60 times per second as the human eye can spot flicker at refresh rates less than about 30 times per seconds. For 480 x 640 pixels VGA monitor, at a 60Hz refresh rate, approximately 40ns is needed per pixel. A 25 Mhz clock has a 40ns period 3.2 VGA Timing Control: Pixels are updated in a serial fashion. The pixel clock runs at 25MHz. The electron beam starts at (0, 0) and goes horizontally through the first row, up to the last row, and last pixel at (479,639). Timing of VGA signals are ruled by VESA. Here is a short introduction about how FPGA drive the VGA display with 640×480@60Hz. In the standard of VGA industry, the output frequency of pixel is 25.175MHz, and the frequencies of horizontal scan and vertical scan are 31.496 KHz and 59.940 Hz. If display receives this standard frequency, then the resolution will be 640×480, and refresh rate is 60Hz. [2] The VGA vertical timing is basically the same as the VGA Horizontal Timing. The difference is that vertical synchronization pulse represents the end of one image frame and the start of the next frame. The RGB data during display interval includes all lines of the screen.
  • 4. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 46| 3.3 System Requirement Analysis: Figure 4 shows the devices required in this project. We need Spartan 3E FPGA board which contain VGA interface. The host PC is used to configure the hardware design and download the designed from FPGA board. The display is controlled by the FPGA board interface and displayed on the LCD Monitor through VGA interface. From these devices, the following components are required:  Spartan 3E FPGA board.  SDRAM Controller for Memory  VGA Controller Interface  Clocks for processor, SDRAM and VGA Fig 4: Required Devices and Collections Fig 5: Block Diagram of VGA Controller IV. SIMULATION RESULTS In this paper i interface a VGA port available on FPGA board to generate the character(s) from ASCII text characters. To display text on video controller is an important function. I made the Character generation circuit that took the character from internal ROM to facilitate the display of text characters on a screen. To display text on my VGA display, I organized the 640x480 display area into "tiles" where each tile represents a character location. In this project, the font size of each character is 16x8 (height & Width). This font will display 80 text characters in each line Mapped onto a 640x480 display (i.e., 640 pixels divided by 8 columns per character) and 30 lines (480 / 16). Each of the 640x480 pixels in the display are associated with one of the 80x30 character locations fig 6 shows the simulation result of VGA controller for display color input 100, display output color 100 and background color is 011.
  • 5. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 47| Fig 6: Simulation Result for VGA Fig 7 shows the simulation result of VGA controller for display color input 110, display output color 110 and background color is 001. Fig 7: Simulation Result for VGA We have implemented our work on FPGA Spartan3E also and we get result for different display color inputs by three DIP switches on FPGA board. DIP switches are responsible for the color of display character and background color. We need a CRT monitor to visualize the effects (Results may be vary for different CRT manufacturers) shows in fig 8.
  • 6. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 48| (a) (b) Fig 8: Output display by FPGA kit. We are using Xilinx tool for synthesis our code fig 9 shows the main RTL of our code. Fig 9: Main RTL
  • 7. Design of VGA Controller using VHDL for LCD Display using FPGA | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 6| June. 2014 | 49| V. CONCLUSION In this paper I interface a VGA port available on FPGA board to generate the character(s) from ASCII text characters. To display text on video controller is an important function. I made the Character generation circuit that took the character from internet ROM to facilitate the display of text characters on a screen. To display text on my VGA display, I organized the 640x480 display area into "tiles" where each tile represents a character location. In this project, the font size of each character is 16x8(height & Width). This font will display 80 text characters in each line Mapped onto a 640x480 display (i.e., 640 pixels divided by 8 columns per character) and 30 lines (480 / 16). Each of the 640x480 pixels in the display are associated with one of the 80x30 character locations. VGA is an important output section for displaying the results. My work could be move further for interfacing the keyboard (PS2/USB) for more interesting real world implementation to a complete gaming device. REFERENCES [1.] AvalonSwitchFabricAccessedmarch32014.www.ee.ryerson.ca/%7Ecourses/coe718/DataSheets/sopc/AVALONB US_qii54003.pdf. [2.] VGA Timing. Accessed march 7 2014 martin.hinner.info/vga/timing.html [3.] VGA Interface and Pin out. Accessed march 7 2014http://www.allpinouts.org/index.php/VGA_15_Pin [4.] RGB Color Model. Accessed march 7 2014 http://en.wikipedia.org/wiki/RGB_color_model [5.] Wisniewski, Remigiusz (2009). Synthesis of compositional microprogram control units for programmable devices. Zielona Góra: University of Zielona Góra. p. 153. ISBN 978-83-7481-293-1.