SlideShare a Scribd company logo
1 of 20
ハードウエア設計演習
小山 幸伸
新領域融合研究センター/国立情報学研究所
大分工業高等専門学校
「ハードウエア記述言語」
 ハードウェア記述言語
 Hardware Description Language (HDL)とは、
デジタル集積回路を設計するための
 コンピュータ言語です。
電子回路の復習
様々な論理回路
A B Z
L L L
L H L
H L L
H H H
A B Z
L L L
L H H
H L H
H H H
A B Z
L L L
L H H
H L H
H H L
A Z
L H
H L
(1) Z=AB (2) Z=A+B
(3) Z=A’
(4) Z=A’B+AB’
基礎演習, 工学実験の復習
 74??シリーズや14???シリーズなどのロジックICの動
作確認をブレッドボード上でした(はず)。
 回路が大規模になると、配線,デバッグ, 仕様変更が大
変。
7400
プログラマブル・デバイスの利用
XILINX CoolRunner-II
XC2C32A6VQ44C
XILINX SPARTAN-6LX
XC6SLX9-2TQG144C
• CPLD
(Complex Programmable
Logic Device)
FPGA
(Field-programmable gate array)
2000円くらい300円くらい
プログラマブルデバイスの利用例
いずれも、入力信号の処理に、
XILINXのFPGAを利用している。
約10,000
アンテナ
66アンテナ
ハードウェア記述言語の色々
 Verilog HDL

 VHDL (VHSIC HDL,
 Very High Speed Integrated Circuits HDL)

 SystemC など
NOT回路(not_gate.vhdl) ①
1: library IEEE;
2: use IEEE.STD_LOGIC_1164.all;
3:
4: entity NOT_GATE is
5: port(
6: A : in std_logic;
7: Z : out std_logic);
8: end NOT_GATE;
9:
ライブラリ名
パッケージ名
エンティティ部は、1つ
の設計単位を表現し、回
路名(エンティティ名)と外
部のインターフェイスを
定義します。
NOT回路(not_gate.vhdl) ②
10: architecture NOT_GATE_A of NOT_GATE is
11: begin
12: Z <= not A;
13: end NOT_GATE_A;
アーキテクチャーは、
エンティティ定義され
た回路の内部動作を定
義する。
アーキテチャー
名
エンティティ
名
ここまでは、AとZの関係を定義したのみ。
動作確認には、Aに入力する必要あり。
シミュレーターを用いた
シミュレーション
 GHDL: VHDLのコンパイラの実装のひとつ。
 GTKWave: 入出力波形の可視化。
動作確認のための、Testbenchの作成 ①
(not_gate_tb.vhdl)
1: library IEEE;
2: use IEEE.STD_LOGIC_1164.all;
3:
4: entity NOT_GATE_TB is
5: end NOT_GATE_TB;
6:
7: architecture SIM of NOT_GATE_TB is
8: signal A : std_logic;
9: signal Z : std_logic;
空のエンティティ
シミュレーション用のアーキテクチャー
名SIMを定義する。
信号名とデータ・タイプを指定する。
続:動作確認のための、Testbenchの作成 ②
(not_gate_tb.vhdl)
10: component NOT_GATE
11: port(
12: A : in std_logic;
13: Z : out std_logic
14: );
15: end component;
16:
ポートを定義する。
続:動作確認のための、Testbenchの作成 ③
(not_gate_tb.vhdl)
17: begin
18: not_gate0: NOT_GATE port map(A, Z);
19:
20: process begin
21: A <= ‘0’; wait for 10 us;
22: A <=‘1’; wait for 10 us;
23: A <=‘0’; wait for 10 us;
24: assert (false) report “Simulation
End!” severity failure;
25: end process;
26: end SIM;
ポートAに信号を与える。
テストベンチを停止するコマンド。
GHDLの実行方法
 $ gdhl --clean
 $ ghdl –a not_gate.vhdl
 $ ghdl –a not_gate_tb.vhdl

 $ ghdl –e not_gate
 $ ghdl –e not_gate_tb

 $ ghdl –r not_gate_tb
 –vcd=hoge.vcd
 $ gtkwave hoge.vcd
以前の実行時の設定をクリアす
る。
vhdlファイルを解析する。
実行ファイルを作る。
実行する。
可視化する。
NOT回路の入出力の可視化
付録
演習問題1
 下記のプログラミングを行い、
動作確認せよ。
1. AND回路
2. OR回路
1. NAND回路
2. NOR回路
3. XOR回路
1. 3入力NAND回路
 ANDとNOTによって構成さ
れる、下記の回路をプログラ
ムし、動作確認せよ。
演習問題2
 半加算器をプログラムし、動
作確認せよ。
 全加算器をプログラムし、動
作確認せよ(Component使用)
A B C S
L L L L
L H L H
H L L H
H H H L
A B X C S
L L L L L
L L H L H
L H L L H
L H H H L
H L L L H
H L H H L
H H L H L
H H H H H
定義した
半加算器
を使い回
AND回路(and.vhdl)
library IEEE;
use
IEEE.STD_LOGICc_1164.all;
entity AND is
port(
A : in std_logic;
B : in std_logic;
Z : out std_logic);
end and_or;
architecture AND_A of AND is
begin
Z <= A and B;
end AND_A;
 タイムチャート
AND回路の入出力の可視化

More Related Content

What's hot

CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティング
CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティングCMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティング
CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティングComputational Materials Science Initiative
 
FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向Shinya Takamaeda-Y
 
FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器I_HaL
 
ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料直久 住川
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応marsee101
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたTakefumi MIYOSHI
 
PythonとVeriloggenを用いたRTL設計メタプログラミング
PythonとVeriloggenを用いたRTL設計メタプログラミングPythonとVeriloggenを用いたRTL設計メタプログラミング
PythonとVeriloggenを用いたRTL設計メタプログラミングShinya Takamaeda-Y
 
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Junichi Akita
 
高速シリアル通信を支える技術
高速シリアル通信を支える技術高速シリアル通信を支える技術
高速シリアル通信を支える技術Natsutani Minoru
 
FPGAX6_hayashi
FPGAX6_hayashiFPGAX6_hayashi
FPGAX6_hayashi愛美 林
 

What's hot (12)

CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティング
CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティングCMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティング
CMSI計算科学技術特論B(14) OpenACC・CUDAによるGPUコンピューティング
 
ADVENTURE_Thermalの概要
ADVENTURE_Thermalの概要ADVENTURE_Thermalの概要
ADVENTURE_Thermalの概要
 
FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向
 
FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器
 
ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料ACRiウェビナー:岩渕様ご講演資料
ACRiウェビナー:岩渕様ご講演資料
 
高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応高位合成ツールVivado hlsのopen cv対応
高位合成ツールVivado hlsのopen cv対応
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみた
 
ICD/CPSY 201412
ICD/CPSY 201412ICD/CPSY 201412
ICD/CPSY 201412
 
PythonとVeriloggenを用いたRTL設計メタプログラミング
PythonとVeriloggenを用いたRTL設計メタプログラミングPythonとVeriloggenを用いたRTL設計メタプログラミング
PythonとVeriloggenを用いたRTL設計メタプログラミング
 
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)Makerの「道具」としてのLSI~「LED点滅用のLSIをつくって  Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
Makerの「道具」としてのLSI ~「LED点滅用のLSIをつくって   Lチカをやってみた」のココロ~(MakerFaireTokyo2014)
 
高速シリアル通信を支える技術
高速シリアル通信を支える技術高速シリアル通信を支える技術
高速シリアル通信を支える技術
 
FPGAX6_hayashi
FPGAX6_hayashiFPGAX6_hayashi
FPGAX6_hayashi
 

Viewers also liked

Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346
Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346
Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346Efrain Perez
 
Problemas resueltos-cadenas-de-markov
Problemas resueltos-cadenas-de-markovProblemas resueltos-cadenas-de-markov
Problemas resueltos-cadenas-de-markovLaura Garzón
 
Media Evaluation Q2
Media Evaluation Q2Media Evaluation Q2
Media Evaluation Q2Alisha Vital
 
Presentación de prueba en slideshare
Presentación de prueba en slidesharePresentación de prueba en slideshare
Presentación de prueba en slidesharewilmercampos4
 
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)IsaacDiazTrejo
 
La magia de la transformación publicar
La magia de la transformación publicarLa magia de la transformación publicar
La magia de la transformación publicarJose Pescio
 
Bronquiolitis pediatría
Bronquiolitis pediatríaBronquiolitis pediatría
Bronquiolitis pediatríaIsaias Coronel
 
Higiene pessoal produtos (ativ. básica)
Higiene pessoal   produtos (ativ. básica)Higiene pessoal   produtos (ativ. básica)
Higiene pessoal produtos (ativ. básica)Flavia Fidelis
 
Distribuição Gama de Probabilidade - Resolução de Questão
Distribuição Gama de Probabilidade - Resolução de QuestãoDistribuição Gama de Probabilidade - Resolução de Questão
Distribuição Gama de Probabilidade - Resolução de QuestãoAnselmo Alves de Sousa
 
#DIS2017 - How can A.I. Help us build a better world
#DIS2017 - How can A.I. Help us build a better world#DIS2017 - How can A.I. Help us build a better world
#DIS2017 - How can A.I. Help us build a better worldFaction XYZ
 
Tarea del seminario 3
Tarea del seminario 3Tarea del seminario 3
Tarea del seminario 3alvarosanpa
 

Viewers also liked (13)

Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346
Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346
Dialnet las actitudesdelosdocenteshacialaformacionentecnolo-498346
 
Problemas resueltos-cadenas-de-markov
Problemas resueltos-cadenas-de-markovProblemas resueltos-cadenas-de-markov
Problemas resueltos-cadenas-de-markov
 
Informe tecnico plancha
Informe tecnico planchaInforme tecnico plancha
Informe tecnico plancha
 
Media Evaluation Q2
Media Evaluation Q2Media Evaluation Q2
Media Evaluation Q2
 
Presentación de prueba en slideshare
Presentación de prueba en slidesharePresentación de prueba en slideshare
Presentación de prueba en slideshare
 
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)
Plantilladelproyectobloqueiv 150412234024-conversion-gate01 (1)
 
La magia de la transformación publicar
La magia de la transformación publicarLa magia de la transformación publicar
La magia de la transformación publicar
 
Bronquiolitis pediatría
Bronquiolitis pediatríaBronquiolitis pediatría
Bronquiolitis pediatría
 
Higiene pessoal produtos (ativ. básica)
Higiene pessoal   produtos (ativ. básica)Higiene pessoal   produtos (ativ. básica)
Higiene pessoal produtos (ativ. básica)
 
Distribuição Gama de Probabilidade - Resolução de Questão
Distribuição Gama de Probabilidade - Resolução de QuestãoDistribuição Gama de Probabilidade - Resolução de Questão
Distribuição Gama de Probabilidade - Resolução de Questão
 
#DIS2017 - How can A.I. Help us build a better world
#DIS2017 - How can A.I. Help us build a better world#DIS2017 - How can A.I. Help us build a better world
#DIS2017 - How can A.I. Help us build a better world
 
Cartilla modelo accidentes_transito
Cartilla modelo accidentes_transitoCartilla modelo accidentes_transito
Cartilla modelo accidentes_transito
 
Tarea del seminario 3
Tarea del seminario 3Tarea del seminario 3
Tarea del seminario 3
 

Similar to Hardware Design Exercises

STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強Kiyoshi Ogawa
 
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法Hiroshi Yoshida
 
1072: アプリケーション開発を加速するCUDAライブラリ
1072: アプリケーション開発を加速するCUDAライブラリ1072: アプリケーション開発を加速するCUDAライブラリ
1072: アプリケーション開発を加速するCUDAライブラリNVIDIA Japan
 
2012.10.23 rh forum
2012.10.23 rh forum2012.10.23 rh forum
2012.10.23 rh forumRyo Fujita
 
Internet of Things
Internet of ThingsInternet of Things
Internet of ThingsRainmaker Ho
 
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519Yasuhiro Ishii
 
第2回 某社Arduino勉強会 ハンズオン
第2回 某社Arduino勉強会 ハンズオン第2回 某社Arduino勉強会 ハンズオン
第2回 某社Arduino勉強会 ハンズオンYusuke HIDESHIMA
 
Groovyで楽にSQLを実行してみよう
Groovyで楽にSQLを実行してみようGroovyで楽にSQLを実行してみよう
Groovyで楽にSQLを実行してみようAkira Shimosako
 
PF部第19回資料 poor man's JTAG
PF部第19回資料 poor man's JTAGPF部第19回資料 poor man's JTAG
PF部第19回資料 poor man's JTAGdaye001
 
Bluetooth Low Energy入門講座 -part2
Bluetooth Low Energy入門講座 -part2Bluetooth Low Energy入門講座 -part2
Bluetooth Low Energy入門講座 -part2edy555
 
VHDL-2008が好きなんです
VHDL-2008が好きなんですVHDL-2008が好きなんです
VHDL-2008が好きなんですwindy12806
 
Javaで簡単にgpgpu aparapi
Javaで簡単にgpgpu aparapiJavaで簡単にgpgpu aparapi
Javaで簡単にgpgpu aparapiKen'ichi Sakiyama
 
Java 9で進化する診断ツール
Java 9で進化する診断ツールJava 9で進化する診断ツール
Java 9で進化する診断ツールYasumasa Suenaga
 
Vivado hls勉強会1(基礎編)
Vivado hls勉強会1(基礎編)Vivado hls勉強会1(基礎編)
Vivado hls勉強会1(基礎編)marsee101
 
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介IBM Analytics Japan
 
NVIDIA Japan Seminar 2012
NVIDIA Japan Seminar 2012NVIDIA Japan Seminar 2012
NVIDIA Japan Seminar 2012Takuro Iizuka
 
Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Takuya Matsunaga
 

Similar to Hardware Design Exercises (20)

STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
 
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法
Android上での3D(OpenGL)描画の基礎とNDKによる実践的高速化手法
 
1072: アプリケーション開発を加速するCUDAライブラリ
1072: アプリケーション開発を加速するCUDAライブラリ1072: アプリケーション開発を加速するCUDAライブラリ
1072: アプリケーション開発を加速するCUDAライブラリ
 
2012.10.23 rh forum
2012.10.23 rh forum2012.10.23 rh forum
2012.10.23 rh forum
 
Internet of Things
Internet of ThingsInternet of Things
Internet of Things
 
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
DE0でラジコンカー作ってみた 関西de0 fpga勉強会20120519
 
第2回 某社Arduino勉強会 ハンズオン
第2回 某社Arduino勉強会 ハンズオン第2回 某社Arduino勉強会 ハンズオン
第2回 某社Arduino勉強会 ハンズオン
 
Groovyで楽にSQLを実行してみよう
Groovyで楽にSQLを実行してみようGroovyで楽にSQLを実行してみよう
Groovyで楽にSQLを実行してみよう
 
PF部第19回資料 poor man's JTAG
PF部第19回資料 poor man's JTAGPF部第19回資料 poor man's JTAG
PF部第19回資料 poor man's JTAG
 
Arduino
ArduinoArduino
Arduino
 
Bluetooth Low Energy入門講座 -part2
Bluetooth Low Energy入門講座 -part2Bluetooth Low Energy入門講座 -part2
Bluetooth Low Energy入門講座 -part2
 
VHDL-2008が好きなんです
VHDL-2008が好きなんですVHDL-2008が好きなんです
VHDL-2008が好きなんです
 
Javaで簡単にgpgpu aparapi
Javaで簡単にgpgpu aparapiJavaで簡単にgpgpu aparapi
Javaで簡単にgpgpu aparapi
 
Java 9で進化する診断ツール
Java 9で進化する診断ツールJava 9で進化する診断ツール
Java 9で進化する診断ツール
 
Glfw3,OpenGL,GUI
Glfw3,OpenGL,GUI Glfw3,OpenGL,GUI
Glfw3,OpenGL,GUI
 
Slide
SlideSlide
Slide
 
Vivado hls勉強会1(基礎編)
Vivado hls勉強会1(基礎編)Vivado hls勉強会1(基礎編)
Vivado hls勉強会1(基礎編)
 
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
Db2 v11.5.4 高可用性構成 & HADR 構成パターンご紹介
 
NVIDIA Japan Seminar 2012
NVIDIA Japan Seminar 2012NVIDIA Japan Seminar 2012
NVIDIA Japan Seminar 2012
 
Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版Dalvik仮想マシンのアーキテクチャ 改訂版
Dalvik仮想マシンのアーキテクチャ 改訂版
 

More from Yukinobu Koyama

Dagik earthのための ヒューマンインターフェースの提案
Dagik earthのための ヒューマンインターフェースの提案Dagik earthのための ヒューマンインターフェースの提案
Dagik earthのための ヒューマンインターフェースの提案Yukinobu Koyama
 
Code for Nabari 第3回定例ミーティング
Code for Nabari 第3回定例ミーティングCode for Nabari 第3回定例ミーティング
Code for Nabari 第3回定例ミーティングYukinobu Koyama
 
20190129 nit oita-koyama
20190129 nit oita-koyama20190129 nit oita-koyama
20190129 nit oita-koyamaYukinobu Koyama
 
大分県下の全市町村版5374アプリ用オープンデータの整備
大分県下の全市町村版5374アプリ用オープンデータの整備大分県下の全市町村版5374アプリ用オープンデータの整備
大分県下の全市町村版5374アプリ用オープンデータの整備Yukinobu Koyama
 
Development of low cost geomagnetic observation system by using Magneto-imped...
Development of low cost geomagnetic observation system by using Magneto-imped...Development of low cost geomagnetic observation system by using Magneto-imped...
Development of low cost geomagnetic observation system by using Magneto-imped...Yukinobu Koyama
 
背面投影型Dagik Earthのための球面マルチタッチパネルの開発
背面投影型Dagik Earthのための球面マルチタッチパネルの開発背面投影型Dagik Earthのための球面マルチタッチパネルの開発
背面投影型Dagik Earthのための球面マルチタッチパネルの開発Yukinobu Koyama
 

More from Yukinobu Koyama (20)

Dagik earthのための ヒューマンインターフェースの提案
Dagik earthのための ヒューマンインターフェースの提案Dagik earthのための ヒューマンインターフェースの提案
Dagik earthのための ヒューマンインターフェースの提案
 
Code for Nabari 第3回定例ミーティング
Code for Nabari 第3回定例ミーティングCode for Nabari 第3回定例ミーティング
Code for Nabari 第3回定例ミーティング
 
20190129 nit oita-koyama
20190129 nit oita-koyama20190129 nit oita-koyama
20190129 nit oita-koyama
 
大分県下の全市町村版5374アプリ用オープンデータの整備
大分県下の全市町村版5374アプリ用オープンデータの整備大分県下の全市町村版5374アプリ用オープンデータの整備
大分県下の全市町村版5374アプリ用オープンデータの整備
 
Development of low cost geomagnetic observation system by using Magneto-imped...
Development of low cost geomagnetic observation system by using Magneto-imped...Development of low cost geomagnetic observation system by using Magneto-imped...
Development of low cost geomagnetic observation system by using Magneto-imped...
 
背面投影型Dagik Earthのための球面マルチタッチパネルの開発
背面投影型Dagik Earthのための球面マルチタッチパネルの開発背面投影型Dagik Earthのための球面マルチタッチパネルの開発
背面投影型Dagik Earthのための球面マルチタッチパネルの開発
 
20151028koyama
20151028koyama20151028koyama
20151028koyama
 
20151102koyama
20151102koyama20151102koyama
20151102koyama
 
20150817koyama
20150817koyama20150817koyama
20150817koyama
 
20140311京大所内
20140311京大所内20140311京大所内
20140311京大所内
 
20121020
2012102020121020
20121020
 
20140429 egu
20140429 egu20140429 egu
20140429 egu
 
Or2013 poster
Or2013 posterOr2013 poster
Or2013 poster
 
Sgepss2013 koyama
Sgepss2013 koyamaSgepss2013 koyama
Sgepss2013 koyama
 
Iugonet 20130524 poster
Iugonet 20130524 posterIugonet 20130524 poster
Iugonet 20130524 poster
 
Iugonet 20130522
Iugonet 20130522Iugonet 20130522
Iugonet 20130522
 
Iugonet 20100616
Iugonet 20100616Iugonet 20100616
Iugonet 20100616
 
Iugonet 20100916
Iugonet 20100916Iugonet 20100916
Iugonet 20100916
 
Iugonet 20130301
Iugonet 20130301Iugonet 20130301
Iugonet 20130301
 
Iugonet 20100816 system
Iugonet 20100816 systemIugonet 20100816 system
Iugonet 20100816 system
 

Hardware Design Exercises