SlideShare a Scribd company logo
FD-SOI – Harnessing the Power +
A Little Spelunking Into PPA
Rick Tewell
Vice President of Systems
CTO Office
DAC, 2016
But first…
a short, sweet - yet obligatory
VeriSilicon Overview
VeriSilicon Global Operations
▲Founded in 2001, currently ~700 employees; six R&D centers; nine sales offices
▲70% dedicated to R&D; 70% based in Shanghai, China
▲70% of the revenue comes from outside of China
Company Proprietary and Confidential
From Fabless to Design-lite
Company Proprietary and Confidential
VeriSilicon – A SiPaaS Company
We call it Silicon Platform as a Service, or SiPaaS
▲IP-centric
▲Platform-based
▲End-to-end turnkey service
What we do What we don’t do
▲No fab
▲No branded product
► No NRE investment
► Limited inventory risk
Company Proprietary and Confidential
End-to-end Turnkey Service
▲Tape out one chip a week; 50 chips a year
▲Foundry neutral
▲98% first silicon success
Customer
SiliconShippingTestingPackaging
Netlist to
GDSII
RTL to
Netlist
Spec to RTL Manufacturing
TSMC 28nm LP GF 28 nm SLPTSMC 28nm HPM GF 28nm HPM SEC 28nm LPP UMC 28nm LP SMIC 28nm HPM
Company Proprietary and Confidential
FD SO What?
VLSIresearch – G. Dan Hutcheson
VLSIresearch – G. Dan Hutcheson
VLSIresearch – G. Dan Hutcheson
VLSIresearch – G. Dan Hutcheson
Harnessing The Power
▲Body-biasing Enables Power/Performance Trade-off
FD-SOI Body Biasing
FD-SOI Body Biasing
▲Body-biasing allows for optimum power/performance trade-off
How To Dynamically Manage Body Biasing?
IoT SoC Block Diagram
DVFS
BB Control
Low Speed I/O Power Domain
How To Dynamically Manage Body Biasing?
IoT SoC Block Diagram
DVFS
BB Control
Low Speed I/O Power Domain
New
VeriSilicon IP
How To Dynamically Manage Body Biasing?
IoT SoC Block Diagram
DVFS
BB Control
Low Speed I/O Power Domain
New
VeriSilicon IP
Strive to create an “industry
standard” programming
model in HW and SW for
DVFS and Body Bias Control
How To Dynamically Manage Body Biasing?
ACPI (Advanced Configuration and Power Interface)
► Standard interface specification
► OS can perform power management using this API
► Hardware and software drivers support this API
► Mapping from CPU mechanisms to ACPI is provided by BIOS and
software drivers
OS Power Management
Hardware: CPU, BIOS etc.
Software drivers
ACPI
Applications
Direct Software Control
How To Dynamically Manage Body Biasing?
ACPI State Hierarchy
Global system states (g-state)
▲G0 : Working
▲G1 : Sleeping (e.g., suspend, hibernate)
▲G2 : Soft off (e.g., powered down but can be restarted by
interrupts from input devices)
▲G3 : Mechanical off
Lower number means higher power
Direct Software Control
How To Dynamically Manage Body Biasing?
▲ Global system states (g-state)
▲ G0 : Working
► Domain power states (C-state)
► C0 : normal execution
► C1 : idle
► C2 : lower power but longer resume latency than C1
► C3 : lower power but longer resume latency than C2
▲ G1 : Sleeping (e.g., suspend, hibernate)
► Sleep State (S-state)
► S0
► S1
► S2
► S3: suspend
► S4: hibernate
▲ G2 : Soft off (S5)
▲ G3 : Mechanical off
ACPI State Hierarchy
Direct Software Control
How To Dynamically Manage Body Biasing?
ACPI State Hierarchy
▲G0 : Working
►Domain power states (C-state)
►C0 : normal execution
 Performance state (P-State)
 P0: highest performance, highest power
 P1
 Pn
►C1, C2, C3
▲G1 : Sleeping (e.g., suspend, hibernate)
►Sleep State (S-state): S0, S1, S2, S3, S4
▲G2 : Soft off (S5)
▲G3 : Mechanical off
▲Enhanced BB Control
== dynamic frequency and voltage scaling
▲An operation point (frequency, voltage) == P-state
▲Note that the power domain remains in normal
operation
Direct Software Control
RO Comparison Between Samsung 28nm FD-SOI
and Samsung 28nm LPP/LPH
9 Stages RO Simulation @ TT/ 25c
Vdd (v) Samsung 28nm LPP
(ps)
28nm FD-SOI
(ps)
28nm FD-SOI w/ LVT (ps) Samsung 28nm LPH (ps)
0.6 62.22 31.7 16.06 22.5
0.7 29.72 15.39 9.78 13
0.8 18.2 9.83 6.94 8.94
0.9 13 7.22 5.39 6.83
1.0 10.16 5.83 4.47 5.67
• 9 stages of inv (P/N: 0.3um/0.2um) chains
• The delay number is based on average one gate number
28nm FD-SOI has speed advantage on low Vdd supply
RO Dynamic Power Comparison
▲Dynamic Power comparison
1. @ Same Vdd, the dynamic power is lower in 28nm FD-SOI than Samsung 28nm LPH.
2. @ Same speed, the dynamic power saving in 28nm FD-SOI is more significant than Samsung 28nm LPP.
1v
1v
1v
1v
0.9v
0.9v
0.8v 0.9v 0.9v
0.9v
0.8v
0.8v
0.8v
0.7v
0.7v
0.7v 0.7v
RO Leakage Comparison
▲Leakage comparison
Samsung 28nm LPH and 28nm FD-SOI (LVT) consume more leakage power than Samsung 28nm LPP and 28nm FD-
SOI (RVT), @same VDD
TT, 25C TT, Vdd=1v
Memory Comparison Between Samsung 28nm
FD-SOI and Samsung 28nm LPP/LPH
Memory Benchmarks on Access Time
▲Memory (1Kx8) Access Time Comparison
►Access time and comparison @ different VDD (TT, 25C)
►The highlighted in RED are the conditions for the memory to run @ the same speed
VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH
(v) (ns) (%) (ns) (%) (ns) (%)
1.0 0.560 100 0.388 144.3 0.372 150.5
0.9 0.739 75.8 0.483 115.9 0.472 118.6
0.85 0.879 63.7 0.552 101.4 0.539 103.9
0.8 1.070 52.3 0.647 86.6 0.642 87.2
Memory Benchmarks on Active Power
▲Memory (1Kx8) Active Power Comparison
►Active power and comparison @ different VDD (TT, 25C)
►The highlighted in RED are the conditions for the memory to run @ the same speed
VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH
(v) (uW@1MHz) (%) (uW@1MHz) (%) (uW@1MHz) (%)
1.0 2.568 100 2.076 80.9 2.9 112.9
0.9 2.039 79.4 1.674 65.2 2.335 90.9
0.85 1.812 70.6 1.490 58.0 2.013 78.4
0.8 1.566 61.0 1.318 51.3 1.805 70.3
Memory Benchmarks on Leakage Power
▲Memory (1Kx8) Leakage Power Comparison
►Leakage power and comparison @ different VDD (TT, 25C)
►The highlighted in RED are the conditions for the memory to run @ the same speed
VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH
(v) uW (%) uW (%) uW (%)
1.0 0.745 100 0.857 115.1 1.148 154.1
0.9 0.457 61.4 0.537 72.1 0.733 98.3
0.85 0.369 49.5 0.426 57.2 0.588 79.0
0.8 0.302 40.5 0.338 45.4 0.474 63.6
0%
20%
40%
60%
80%
100%
120%
Speed Active power Leakage power Total power
28LPP@vdd=1v
28FD-SOI(RVT)@vdd=0.85v
28LPH@vdd=0.85v
Memory Benchmarks on Total Power
▲Memory Total Power Comparison
1. @Same Vdd=1v, the total power on 28nm FD-SOI is the lowest compared with Samsung 28nm LPP and LPH
2. @Same speed, the total power on 28nm FD-SOI is the lowest compared with Samsung 28nm LPP and LPH.
Memory speed @
1GHz
0%
20%
40%
60%
80%
100%
120%
140%
160%
Speed Active powr Leakage power Total power
Samsung 28nm LPP
28nm FD-SOI
Samsung 28nm LPH
Cortex A7 Benchmark Between Samsung 28nm
FD-SOI and Samsung 28nm LPP/LPH
Cortex A7 Benchmark – CPU Configuration
Representative Configuration Across Many Applications
Configurable Feature Selected Value
L1 Instruction Cache 32KB
L1 Data Cache 32KB
NEON™ Included
FPU(Floating Point Unit) Included
GIC (Generic Interrupt Controller) Included
ETM(Embedded Trace Macro Cell) Included
Cortex-A7 Floorplan
Cortex A7 Benchmark – 800MHz (1)
Tech Node 28nm FD-SOI Samsung 28nm LPP
Target Performance 800 MHz 800 MHz
Sign-off Corner ss_0.80v_m40c* * ss_0.9v_m40c* *
Post-Shrink Area(mm²) w/o utilization 0.449 0.536
Leakage(mW) @ tt25c 0.88 1.6
Dynamic(mW/MHz) @ tt25c * 0.119 0.176
Total Power (mW) @ tt25c 96.2 139.9
* Note: Dynamic power is based on 10% toggle rate on all data path.
* * Note: To achieve 800MHz, Samsung 28nm LPP needs 0.9V supply voltage, while 28nm FD-SOI only needs 0.8V supply voltage. Lower supply voltage enables 28FD-SOI
to consume lower dynamic power.
• FD-SOI saves 16.2% area
• FD-SOI dramatically reduces the die size and cost
Area
• FD-SOI consumes 32.4% less dynamic power
• FD-SOI consumes 45.0% less leakage power
Leakage and Dynamic Power
• FD-SOI consumes 31.2% less total powerTotal Power
PPA at 800MHz, 28nm FD-SOI (no FBB) vs. Samsung 28nm LPP
Cortex A7 Benchmark – 800MHz (2)
PPA at 800MHz – 28nm FD-SOI (0.6v-FBB) vs. Samsung 28nm LPP
* Note: Dynamic power is based on 10% toggle rate on all data path.
* * Note: To achieve 800MHz, Samsung 28nm LPP needs 0.9V supply voltage, while 28nm FD-SOI only needs 0.8V supply voltage. Lower supply voltage enables 28nm FD-
SOI to consume lower dynamic power.
• FD-SOI saves 8.2% area
• FD-SOI dramatically reduces the die size and cost
Area
• FD-SOI consumes 42.0% less dynamic power
• FD-SOI consumes 21.3% more leakage power
Leakage and Dynamic Power
• FD-SOI consumes 40.2% less total powerTotal Power
Tech Node 28nm FD-SOI (with 0.6v FBB) Samsung 28nm LPP
Target Performance 800 MHz 800 MHz
Sign-off Corner ss_0.70v_m40c* * ss_0.9v_m40c* *
Post-Shrink Area(mm²) w/o utilization 0.492 0.536
Leakage(mW) @ tt25c 1.94 1.6
Dynamic(mW/MHz) @ tt25c * 0.102 0.176
Total Power (mW) @ tt25c 83.64 139.9
Cortex A7 Benchmark – 1.2GHz
PPA at 1.2GHz – 28nm FD-SOI vs. Samsung 28nm LPH
* Note: Dynamic power is based on 10% toggle rate on all data path.
• FD-SOI saves 23.8% area
• FD-SOI dramatically reduces the die size and cost
Area
• FD-SOI consumes 6.2% more dynamic power
• FD-SOI consumes 74.0% less leakage power
Leakage and Dynamic Power
• FD-SOI consumes 4.8% more total powerTotal Power
Tech Node 28nm FD-SOI (no BB) Samsung 28nm LPH
Target Performance 1200 MHz 1200 MHz
Sign-off Corner ss_0.90v_m40c ss_0.81v_m40c
Post-Shrink Area(mm²) w/o utilization 0.403 0.529
Leakage(mW) @ tt25c 1.462 5.633
Dynamic(mW/MHz) @ tt25c * 0.172 0.162
Total Power (mW) @ tt25c 208.2 198.6
Samsung 28nm LPH has less dynamic power, but more leakage consumption.
THANKS!

More Related Content

What's hot

10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
Allen He
 
Athens Standard & Technical
Athens Standard & TechnicalAthens Standard & Technical
Athens Standard & Technical
Gianluca Musetti
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020
Javed G S, PhD
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Ansys
 
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface SolutionsODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
jennimenni
 
Nowka low-power-07
Nowka low-power-07Nowka low-power-07
Nowka low-power-07
Vijay Prime
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
Anil Yadav
 
Eliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory TestingEliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory Testing
teledynelecroy
 
Timothy j cash_mini_career_portfolio
Timothy j cash_mini_career_portfolioTimothy j cash_mini_career_portfolio
Timothy j cash_mini_career_portfolio
Timothy Cash
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
Allen He
 
Field Measurement Options for Network Operators
Field Measurement Options for Network OperatorsField Measurement Options for Network Operators
Field Measurement Options for Network Operators
ADVA
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
Rajesh Tiwary
 
EE673+F14+T2+Project1+Final+Pres+r3rg
EE673+F14+T2+Project1+Final+Pres+r3rgEE673+F14+T2+Project1+Final+Pres+r3rg
EE673+F14+T2+Project1+Final+Pres+r3rg
Ashikur Rahman
 
Gigalight Solutions for Data Center and Cloud Computing
Gigalight Solutions for Data Center and Cloud ComputingGigalight Solutions for Data Center and Cloud Computing
Gigalight Solutions for Data Center and Cloud Computing
Gigalight
 
IGT-2205AT Industrial Gigabit Media Converter
IGT-2205AT Industrial Gigabit Media ConverterIGT-2205AT Industrial Gigabit Media Converter
IGT-2205AT Industrial Gigabit Media Converter
BluBoxx Communication Pvt. ltd
 
Optical Transport Technologies and Trends
Optical Transport Technologies and TrendsOptical Transport Technologies and Trends
Optical Transport Technologies and Trends
MyNOG
 
Vlsi design
Vlsi designVlsi design
Vlsi design
RAMABHARATHITG
 
Introduction to dwdm technology
Introduction to dwdm technologyIntroduction to dwdm technology
Introduction to dwdm technology
Sayed Qaisar Shah
 
Como pasar de redes HFC a tecnología RFoG
Como pasar de redes HFC a tecnología RFoGComo pasar de redes HFC a tecnología RFoG
Como pasar de redes HFC a tecnología RFoG
Cable Servicios S.A.
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 

What's hot (20)

10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
10Gb/s 80km DWDM SFP+ Transceiver Hot Pluggable, Duplex LC, +3.3V, 100GHz ITU...
 
Athens Standard & Technical
Athens Standard & TechnicalAthens Standard & Technical
Athens Standard & Technical
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface SolutionsODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
ODSA BoW: Basic, Fast, Turbo Die to Die Open Interface Solutions
 
Nowka low-power-07
Nowka low-power-07Nowka low-power-07
Nowka low-power-07
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Eliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory TestingEliminate Pitfalls of DDR Memory Testing
Eliminate Pitfalls of DDR Memory Testing
 
Timothy j cash_mini_career_portfolio
Timothy j cash_mini_career_portfolioTimothy j cash_mini_career_portfolio
Timothy j cash_mini_career_portfolio
 
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
10Gb/s DWDM XFP Transceiver Hot Pluggable, Duplex LC, +3.3V & +5V, 100GHz ITU...
 
Field Measurement Options for Network Operators
Field Measurement Options for Network OperatorsField Measurement Options for Network Operators
Field Measurement Options for Network Operators
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
EE673+F14+T2+Project1+Final+Pres+r3rg
EE673+F14+T2+Project1+Final+Pres+r3rgEE673+F14+T2+Project1+Final+Pres+r3rg
EE673+F14+T2+Project1+Final+Pres+r3rg
 
Gigalight Solutions for Data Center and Cloud Computing
Gigalight Solutions for Data Center and Cloud ComputingGigalight Solutions for Data Center and Cloud Computing
Gigalight Solutions for Data Center and Cloud Computing
 
IGT-2205AT Industrial Gigabit Media Converter
IGT-2205AT Industrial Gigabit Media ConverterIGT-2205AT Industrial Gigabit Media Converter
IGT-2205AT Industrial Gigabit Media Converter
 
Optical Transport Technologies and Trends
Optical Transport Technologies and TrendsOptical Transport Technologies and Trends
Optical Transport Technologies and Trends
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
Introduction to dwdm technology
Introduction to dwdm technologyIntroduction to dwdm technology
Introduction to dwdm technology
 
Como pasar de redes HFC a tecnología RFoG
Como pasar de redes HFC a tecnología RFoGComo pasar de redes HFC a tecnología RFoG
Como pasar de redes HFC a tecnología RFoG
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 

Viewers also liked

Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
 
S3 s short course intro soi apps (1)
S3 s short course intro soi apps (1)S3 s short course intro soi apps (1)
S3 s short course intro soi apps (1)
cddsoitec
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power Consistency
Ansys
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Ansys
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
Ansys
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Ansys
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
Ansys
 

Viewers also liked (7)

Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
S3 s short course intro soi apps (1)
S3 s short course intro soi apps (1)S3 s short course intro soi apps (1)
S3 s short course intro soi apps (1)
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power Consistency
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 

Similar to FD-SOI Harnessing the Power - DAC 2016 Austin Presentation

2020-ntn-vsphere_performance_principles_bondzio.pdf
2020-ntn-vsphere_performance_principles_bondzio.pdf2020-ntn-vsphere_performance_principles_bondzio.pdf
2020-ntn-vsphere_performance_principles_bondzio.pdf
PhmNgcTr3
 
Presentation to dm as november 2007 with dynamic provisioning information
Presentation to dm as   november 2007 with dynamic provisioning informationPresentation to dm as   november 2007 with dynamic provisioning information
Presentation to dm as november 2007 with dynamic provisioning information
xKinAnx
 
HyPPO - Hybrid Performance-aware Power-capping Orchestrator
HyPPO - Hybrid Performance-aware Power-capping OrchestratorHyPPO - Hybrid Performance-aware Power-capping Orchestrator
HyPPO - Hybrid Performance-aware Power-capping Orchestrator
NECST Lab @ Politecnico di Milano
 
LAS16-307: Benchmarking Schedutil in Android
LAS16-307: Benchmarking Schedutil in AndroidLAS16-307: Benchmarking Schedutil in Android
LAS16-307: Benchmarking Schedutil in Android
Linaro
 
huawei-s5720-28p-si-ac-brochure-datasheet.pdf
huawei-s5720-28p-si-ac-brochure-datasheet.pdfhuawei-s5720-28p-si-ac-brochure-datasheet.pdf
huawei-s5720-28p-si-ac-brochure-datasheet.pdf
Hi-Network.com
 
Aditech innodisk-flash disk technology
Aditech innodisk-flash disk technologyAditech innodisk-flash disk technology
Aditech innodisk-flash disk technology
Vilas Fulsundar
 
System z Server Upgrade Due Diligence
System z Server Upgrade Due DiligenceSystem z Server Upgrade Due Diligence
System z Server Upgrade Due Diligence
Michael Moss
 
Energy Savings Using GZIP IP Within IoT Devices
Energy Savings Using GZIP IP Within IoT DevicesEnergy Savings Using GZIP IP Within IoT Devices
Energy Savings Using GZIP IP Within IoT Devices
CAST, Inc.
 
Using GZIP Data Compression to Reduce Power Consumption in IoT Devices
Using GZIP Data Compression to Reduce Power Consumption in IoT DevicesUsing GZIP Data Compression to Reduce Power Consumption in IoT Devices
Using GZIP Data Compression to Reduce Power Consumption in IoT Devices
CAST, Inc.
 
PACT_conference_2019_Tutorial_02_gpgpusim.pptx
PACT_conference_2019_Tutorial_02_gpgpusim.pptxPACT_conference_2019_Tutorial_02_gpgpusim.pptx
PACT_conference_2019_Tutorial_02_gpgpusim.pptx
ssuser30e7d2
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture Presentation
Deborah Porchivina
 
S emb t10-development
S emb t10-developmentS emb t10-development
S emb t10-development
João Moreira
 
QuickSilver Controls QCI-DS030 QCI-X23
QuickSilver Controls QCI-DS030 QCI-X23 QuickSilver Controls QCI-DS030 QCI-X23
QuickSilver Controls QCI-DS030 QCI-X23
Electromate
 
Track d more performance less power - freescale final
Track d   more performance less power  - freescale finalTrack d   more performance less power  - freescale final
Track d more performance less power - freescale final
chiportal
 
Applied motion products stac5 datasheet
Applied motion products stac5 datasheetApplied motion products stac5 datasheet
Applied motion products stac5 datasheet
Electromate
 
Jstorm introduction-0.9.6
Jstorm introduction-0.9.6Jstorm introduction-0.9.6
Jstorm introduction-0.9.6
longda feng
 
Inside Microsoft's FPGA-Based Configurable Cloud
Inside Microsoft's FPGA-Based Configurable CloudInside Microsoft's FPGA-Based Configurable Cloud
Inside Microsoft's FPGA-Based Configurable Cloud
inside-BigData.com
 
Advanced motion controls az6a8ddc
Advanced motion controls az6a8ddcAdvanced motion controls az6a8ddc
Advanced motion controls az6a8ddc
Electromate
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
system_plus
 
MICROPROCESSOR_Notes.pptx
MICROPROCESSOR_Notes.pptxMICROPROCESSOR_Notes.pptx
MICROPROCESSOR_Notes.pptx
Workingad
 

Similar to FD-SOI Harnessing the Power - DAC 2016 Austin Presentation (20)

2020-ntn-vsphere_performance_principles_bondzio.pdf
2020-ntn-vsphere_performance_principles_bondzio.pdf2020-ntn-vsphere_performance_principles_bondzio.pdf
2020-ntn-vsphere_performance_principles_bondzio.pdf
 
Presentation to dm as november 2007 with dynamic provisioning information
Presentation to dm as   november 2007 with dynamic provisioning informationPresentation to dm as   november 2007 with dynamic provisioning information
Presentation to dm as november 2007 with dynamic provisioning information
 
HyPPO - Hybrid Performance-aware Power-capping Orchestrator
HyPPO - Hybrid Performance-aware Power-capping OrchestratorHyPPO - Hybrid Performance-aware Power-capping Orchestrator
HyPPO - Hybrid Performance-aware Power-capping Orchestrator
 
LAS16-307: Benchmarking Schedutil in Android
LAS16-307: Benchmarking Schedutil in AndroidLAS16-307: Benchmarking Schedutil in Android
LAS16-307: Benchmarking Schedutil in Android
 
huawei-s5720-28p-si-ac-brochure-datasheet.pdf
huawei-s5720-28p-si-ac-brochure-datasheet.pdfhuawei-s5720-28p-si-ac-brochure-datasheet.pdf
huawei-s5720-28p-si-ac-brochure-datasheet.pdf
 
Aditech innodisk-flash disk technology
Aditech innodisk-flash disk technologyAditech innodisk-flash disk technology
Aditech innodisk-flash disk technology
 
System z Server Upgrade Due Diligence
System z Server Upgrade Due DiligenceSystem z Server Upgrade Due Diligence
System z Server Upgrade Due Diligence
 
Energy Savings Using GZIP IP Within IoT Devices
Energy Savings Using GZIP IP Within IoT DevicesEnergy Savings Using GZIP IP Within IoT Devices
Energy Savings Using GZIP IP Within IoT Devices
 
Using GZIP Data Compression to Reduce Power Consumption in IoT Devices
Using GZIP Data Compression to Reduce Power Consumption in IoT DevicesUsing GZIP Data Compression to Reduce Power Consumption in IoT Devices
Using GZIP Data Compression to Reduce Power Consumption in IoT Devices
 
PACT_conference_2019_Tutorial_02_gpgpusim.pptx
PACT_conference_2019_Tutorial_02_gpgpusim.pptxPACT_conference_2019_Tutorial_02_gpgpusim.pptx
PACT_conference_2019_Tutorial_02_gpgpusim.pptx
 
OIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture PresentationOIF 2015 FOE Architecture Presentation
OIF 2015 FOE Architecture Presentation
 
S emb t10-development
S emb t10-developmentS emb t10-development
S emb t10-development
 
QuickSilver Controls QCI-DS030 QCI-X23
QuickSilver Controls QCI-DS030 QCI-X23 QuickSilver Controls QCI-DS030 QCI-X23
QuickSilver Controls QCI-DS030 QCI-X23
 
Track d more performance less power - freescale final
Track d   more performance less power  - freescale finalTrack d   more performance less power  - freescale final
Track d more performance less power - freescale final
 
Applied motion products stac5 datasheet
Applied motion products stac5 datasheetApplied motion products stac5 datasheet
Applied motion products stac5 datasheet
 
Jstorm introduction-0.9.6
Jstorm introduction-0.9.6Jstorm introduction-0.9.6
Jstorm introduction-0.9.6
 
Inside Microsoft's FPGA-Based Configurable Cloud
Inside Microsoft's FPGA-Based Configurable CloudInside Microsoft's FPGA-Based Configurable Cloud
Inside Microsoft's FPGA-Based Configurable Cloud
 
Advanced motion controls az6a8ddc
Advanced motion controls az6a8ddcAdvanced motion controls az6a8ddc
Advanced motion controls az6a8ddc
 
NVIDIA A100 ampere GPU
NVIDIA A100 ampere GPUNVIDIA A100 ampere GPU
NVIDIA A100 ampere GPU
 
MICROPROCESSOR_Notes.pptx
MICROPROCESSOR_Notes.pptxMICROPROCESSOR_Notes.pptx
MICROPROCESSOR_Notes.pptx
 

Recently uploaded

Nordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptxNordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptx
MichaelKnudsen27
 
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
Pitangent Analytics & Technology Solutions Pvt. Ltd
 
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
Edge AI and Vision Alliance
 
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
saastr
 
GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)
Javier Junquera
 
Northern Engraving | Nameplate Manufacturing Process - 2024
Northern Engraving | Nameplate Manufacturing Process - 2024Northern Engraving | Nameplate Manufacturing Process - 2024
Northern Engraving | Nameplate Manufacturing Process - 2024
Northern Engraving
 
Introduction of Cybersecurity with OSS at Code Europe 2024
Introduction of Cybersecurity with OSS  at Code Europe 2024Introduction of Cybersecurity with OSS  at Code Europe 2024
Introduction of Cybersecurity with OSS at Code Europe 2024
Hiroshi SHIBATA
 
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge GraphGraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
Neo4j
 
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing InstancesEnergy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Alpen-Adria-Universität
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Safe Software
 
The Microsoft 365 Migration Tutorial For Beginner.pptx
The Microsoft 365 Migration Tutorial For Beginner.pptxThe Microsoft 365 Migration Tutorial For Beginner.pptx
The Microsoft 365 Migration Tutorial For Beginner.pptx
operationspcvita
 
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
Jason Yip
 
Taking AI to the Next Level in Manufacturing.pdf
Taking AI to the Next Level in Manufacturing.pdfTaking AI to the Next Level in Manufacturing.pdf
Taking AI to the Next Level in Manufacturing.pdf
ssuserfac0301
 
Principle of conventional tomography-Bibash Shahi ppt..pptx
Principle of conventional tomography-Bibash Shahi ppt..pptxPrinciple of conventional tomography-Bibash Shahi ppt..pptx
Principle of conventional tomography-Bibash Shahi ppt..pptx
BibashShahi
 
Essentials of Automations: Exploring Attributes & Automation Parameters
Essentials of Automations: Exploring Attributes & Automation ParametersEssentials of Automations: Exploring Attributes & Automation Parameters
Essentials of Automations: Exploring Attributes & Automation Parameters
Safe Software
 
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
saastr
 
Main news related to the CCS TSI 2023 (2023/1695)
Main news related to the CCS TSI 2023 (2023/1695)Main news related to the CCS TSI 2023 (2023/1695)
Main news related to the CCS TSI 2023 (2023/1695)
Jakub Marek
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
panagenda
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
Brandon Minnick, MBA
 
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their MainframeDigital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Precisely
 

Recently uploaded (20)

Nordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptxNordic Marketo Engage User Group_June 13_ 2024.pptx
Nordic Marketo Engage User Group_June 13_ 2024.pptx
 
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
Crafting Excellence: A Comprehensive Guide to iOS Mobile App Development Serv...
 
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
“How Axelera AI Uses Digital Compute-in-memory to Deliver Fast and Energy-eff...
 
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
Deep Dive: AI-Powered Marketing to Get More Leads and Customers with HyperGro...
 
GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)GNSS spoofing via SDR (Criptored Talks 2024)
GNSS spoofing via SDR (Criptored Talks 2024)
 
Northern Engraving | Nameplate Manufacturing Process - 2024
Northern Engraving | Nameplate Manufacturing Process - 2024Northern Engraving | Nameplate Manufacturing Process - 2024
Northern Engraving | Nameplate Manufacturing Process - 2024
 
Introduction of Cybersecurity with OSS at Code Europe 2024
Introduction of Cybersecurity with OSS  at Code Europe 2024Introduction of Cybersecurity with OSS  at Code Europe 2024
Introduction of Cybersecurity with OSS at Code Europe 2024
 
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge GraphGraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
GraphRAG for LifeSciences Hands-On with the Clinical Knowledge Graph
 
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing InstancesEnergy Efficient Video Encoding for Cloud and Edge Computing Instances
Energy Efficient Video Encoding for Cloud and Edge Computing Instances
 
Driving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success StoryDriving Business Innovation: Latest Generative AI Advancements & Success Story
Driving Business Innovation: Latest Generative AI Advancements & Success Story
 
The Microsoft 365 Migration Tutorial For Beginner.pptx
The Microsoft 365 Migration Tutorial For Beginner.pptxThe Microsoft 365 Migration Tutorial For Beginner.pptx
The Microsoft 365 Migration Tutorial For Beginner.pptx
 
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
[OReilly Superstream] Occupy the Space: A grassroots guide to engineering (an...
 
Taking AI to the Next Level in Manufacturing.pdf
Taking AI to the Next Level in Manufacturing.pdfTaking AI to the Next Level in Manufacturing.pdf
Taking AI to the Next Level in Manufacturing.pdf
 
Principle of conventional tomography-Bibash Shahi ppt..pptx
Principle of conventional tomography-Bibash Shahi ppt..pptxPrinciple of conventional tomography-Bibash Shahi ppt..pptx
Principle of conventional tomography-Bibash Shahi ppt..pptx
 
Essentials of Automations: Exploring Attributes & Automation Parameters
Essentials of Automations: Exploring Attributes & Automation ParametersEssentials of Automations: Exploring Attributes & Automation Parameters
Essentials of Automations: Exploring Attributes & Automation Parameters
 
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
9 CEO's who hit $100m ARR Share Their Top Growth Tactics Nathan Latka, Founde...
 
Main news related to the CCS TSI 2023 (2023/1695)
Main news related to the CCS TSI 2023 (2023/1695)Main news related to the CCS TSI 2023 (2023/1695)
Main news related to the CCS TSI 2023 (2023/1695)
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
 
Choosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptxChoosing The Best AWS Service For Your Website + API.pptx
Choosing The Best AWS Service For Your Website + API.pptx
 
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their MainframeDigital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
Digital Banking in the Cloud: How Citizens Bank Unlocked Their Mainframe
 

FD-SOI Harnessing the Power - DAC 2016 Austin Presentation

  • 1. FD-SOI – Harnessing the Power + A Little Spelunking Into PPA Rick Tewell Vice President of Systems CTO Office DAC, 2016
  • 2. But first… a short, sweet - yet obligatory VeriSilicon Overview
  • 3. VeriSilicon Global Operations ▲Founded in 2001, currently ~700 employees; six R&D centers; nine sales offices ▲70% dedicated to R&D; 70% based in Shanghai, China ▲70% of the revenue comes from outside of China Company Proprietary and Confidential
  • 4. From Fabless to Design-lite Company Proprietary and Confidential
  • 5. VeriSilicon – A SiPaaS Company We call it Silicon Platform as a Service, or SiPaaS ▲IP-centric ▲Platform-based ▲End-to-end turnkey service What we do What we don’t do ▲No fab ▲No branded product ► No NRE investment ► Limited inventory risk Company Proprietary and Confidential
  • 6. End-to-end Turnkey Service ▲Tape out one chip a week; 50 chips a year ▲Foundry neutral ▲98% first silicon success Customer SiliconShippingTestingPackaging Netlist to GDSII RTL to Netlist Spec to RTL Manufacturing TSMC 28nm LP GF 28 nm SLPTSMC 28nm HPM GF 28nm HPM SEC 28nm LPP UMC 28nm LP SMIC 28nm HPM Company Proprietary and Confidential
  • 8. VLSIresearch – G. Dan Hutcheson
  • 9. VLSIresearch – G. Dan Hutcheson
  • 10. VLSIresearch – G. Dan Hutcheson
  • 11. VLSIresearch – G. Dan Hutcheson
  • 13. ▲Body-biasing Enables Power/Performance Trade-off FD-SOI Body Biasing
  • 14. FD-SOI Body Biasing ▲Body-biasing allows for optimum power/performance trade-off
  • 15. How To Dynamically Manage Body Biasing? IoT SoC Block Diagram DVFS BB Control Low Speed I/O Power Domain
  • 16. How To Dynamically Manage Body Biasing? IoT SoC Block Diagram DVFS BB Control Low Speed I/O Power Domain New VeriSilicon IP
  • 17. How To Dynamically Manage Body Biasing? IoT SoC Block Diagram DVFS BB Control Low Speed I/O Power Domain New VeriSilicon IP Strive to create an “industry standard” programming model in HW and SW for DVFS and Body Bias Control
  • 18. How To Dynamically Manage Body Biasing? ACPI (Advanced Configuration and Power Interface) ► Standard interface specification ► OS can perform power management using this API ► Hardware and software drivers support this API ► Mapping from CPU mechanisms to ACPI is provided by BIOS and software drivers OS Power Management Hardware: CPU, BIOS etc. Software drivers ACPI Applications Direct Software Control
  • 19. How To Dynamically Manage Body Biasing? ACPI State Hierarchy Global system states (g-state) ▲G0 : Working ▲G1 : Sleeping (e.g., suspend, hibernate) ▲G2 : Soft off (e.g., powered down but can be restarted by interrupts from input devices) ▲G3 : Mechanical off Lower number means higher power Direct Software Control
  • 20. How To Dynamically Manage Body Biasing? ▲ Global system states (g-state) ▲ G0 : Working ► Domain power states (C-state) ► C0 : normal execution ► C1 : idle ► C2 : lower power but longer resume latency than C1 ► C3 : lower power but longer resume latency than C2 ▲ G1 : Sleeping (e.g., suspend, hibernate) ► Sleep State (S-state) ► S0 ► S1 ► S2 ► S3: suspend ► S4: hibernate ▲ G2 : Soft off (S5) ▲ G3 : Mechanical off ACPI State Hierarchy Direct Software Control
  • 21. How To Dynamically Manage Body Biasing? ACPI State Hierarchy ▲G0 : Working ►Domain power states (C-state) ►C0 : normal execution  Performance state (P-State)  P0: highest performance, highest power  P1  Pn ►C1, C2, C3 ▲G1 : Sleeping (e.g., suspend, hibernate) ►Sleep State (S-state): S0, S1, S2, S3, S4 ▲G2 : Soft off (S5) ▲G3 : Mechanical off ▲Enhanced BB Control == dynamic frequency and voltage scaling ▲An operation point (frequency, voltage) == P-state ▲Note that the power domain remains in normal operation Direct Software Control
  • 22. RO Comparison Between Samsung 28nm FD-SOI and Samsung 28nm LPP/LPH
  • 23. 9 Stages RO Simulation @ TT/ 25c Vdd (v) Samsung 28nm LPP (ps) 28nm FD-SOI (ps) 28nm FD-SOI w/ LVT (ps) Samsung 28nm LPH (ps) 0.6 62.22 31.7 16.06 22.5 0.7 29.72 15.39 9.78 13 0.8 18.2 9.83 6.94 8.94 0.9 13 7.22 5.39 6.83 1.0 10.16 5.83 4.47 5.67 • 9 stages of inv (P/N: 0.3um/0.2um) chains • The delay number is based on average one gate number 28nm FD-SOI has speed advantage on low Vdd supply
  • 24. RO Dynamic Power Comparison ▲Dynamic Power comparison 1. @ Same Vdd, the dynamic power is lower in 28nm FD-SOI than Samsung 28nm LPH. 2. @ Same speed, the dynamic power saving in 28nm FD-SOI is more significant than Samsung 28nm LPP. 1v 1v 1v 1v 0.9v 0.9v 0.8v 0.9v 0.9v 0.9v 0.8v 0.8v 0.8v 0.7v 0.7v 0.7v 0.7v
  • 25. RO Leakage Comparison ▲Leakage comparison Samsung 28nm LPH and 28nm FD-SOI (LVT) consume more leakage power than Samsung 28nm LPP and 28nm FD- SOI (RVT), @same VDD TT, 25C TT, Vdd=1v
  • 26. Memory Comparison Between Samsung 28nm FD-SOI and Samsung 28nm LPP/LPH
  • 27. Memory Benchmarks on Access Time ▲Memory (1Kx8) Access Time Comparison ►Access time and comparison @ different VDD (TT, 25C) ►The highlighted in RED are the conditions for the memory to run @ the same speed VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH (v) (ns) (%) (ns) (%) (ns) (%) 1.0 0.560 100 0.388 144.3 0.372 150.5 0.9 0.739 75.8 0.483 115.9 0.472 118.6 0.85 0.879 63.7 0.552 101.4 0.539 103.9 0.8 1.070 52.3 0.647 86.6 0.642 87.2
  • 28. Memory Benchmarks on Active Power ▲Memory (1Kx8) Active Power Comparison ►Active power and comparison @ different VDD (TT, 25C) ►The highlighted in RED are the conditions for the memory to run @ the same speed VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH (v) (uW@1MHz) (%) (uW@1MHz) (%) (uW@1MHz) (%) 1.0 2.568 100 2.076 80.9 2.9 112.9 0.9 2.039 79.4 1.674 65.2 2.335 90.9 0.85 1.812 70.6 1.490 58.0 2.013 78.4 0.8 1.566 61.0 1.318 51.3 1.805 70.3
  • 29. Memory Benchmarks on Leakage Power ▲Memory (1Kx8) Leakage Power Comparison ►Leakage power and comparison @ different VDD (TT, 25C) ►The highlighted in RED are the conditions for the memory to run @ the same speed VDD Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH (v) uW (%) uW (%) uW (%) 1.0 0.745 100 0.857 115.1 1.148 154.1 0.9 0.457 61.4 0.537 72.1 0.733 98.3 0.85 0.369 49.5 0.426 57.2 0.588 79.0 0.8 0.302 40.5 0.338 45.4 0.474 63.6
  • 30. 0% 20% 40% 60% 80% 100% 120% Speed Active power Leakage power Total power 28LPP@vdd=1v 28FD-SOI(RVT)@vdd=0.85v 28LPH@vdd=0.85v Memory Benchmarks on Total Power ▲Memory Total Power Comparison 1. @Same Vdd=1v, the total power on 28nm FD-SOI is the lowest compared with Samsung 28nm LPP and LPH 2. @Same speed, the total power on 28nm FD-SOI is the lowest compared with Samsung 28nm LPP and LPH. Memory speed @ 1GHz 0% 20% 40% 60% 80% 100% 120% 140% 160% Speed Active powr Leakage power Total power Samsung 28nm LPP 28nm FD-SOI Samsung 28nm LPH
  • 31. Cortex A7 Benchmark Between Samsung 28nm FD-SOI and Samsung 28nm LPP/LPH
  • 32. Cortex A7 Benchmark – CPU Configuration Representative Configuration Across Many Applications Configurable Feature Selected Value L1 Instruction Cache 32KB L1 Data Cache 32KB NEON™ Included FPU(Floating Point Unit) Included GIC (Generic Interrupt Controller) Included ETM(Embedded Trace Macro Cell) Included Cortex-A7 Floorplan
  • 33. Cortex A7 Benchmark – 800MHz (1) Tech Node 28nm FD-SOI Samsung 28nm LPP Target Performance 800 MHz 800 MHz Sign-off Corner ss_0.80v_m40c* * ss_0.9v_m40c* * Post-Shrink Area(mm²) w/o utilization 0.449 0.536 Leakage(mW) @ tt25c 0.88 1.6 Dynamic(mW/MHz) @ tt25c * 0.119 0.176 Total Power (mW) @ tt25c 96.2 139.9 * Note: Dynamic power is based on 10% toggle rate on all data path. * * Note: To achieve 800MHz, Samsung 28nm LPP needs 0.9V supply voltage, while 28nm FD-SOI only needs 0.8V supply voltage. Lower supply voltage enables 28FD-SOI to consume lower dynamic power. • FD-SOI saves 16.2% area • FD-SOI dramatically reduces the die size and cost Area • FD-SOI consumes 32.4% less dynamic power • FD-SOI consumes 45.0% less leakage power Leakage and Dynamic Power • FD-SOI consumes 31.2% less total powerTotal Power PPA at 800MHz, 28nm FD-SOI (no FBB) vs. Samsung 28nm LPP
  • 34. Cortex A7 Benchmark – 800MHz (2) PPA at 800MHz – 28nm FD-SOI (0.6v-FBB) vs. Samsung 28nm LPP * Note: Dynamic power is based on 10% toggle rate on all data path. * * Note: To achieve 800MHz, Samsung 28nm LPP needs 0.9V supply voltage, while 28nm FD-SOI only needs 0.8V supply voltage. Lower supply voltage enables 28nm FD- SOI to consume lower dynamic power. • FD-SOI saves 8.2% area • FD-SOI dramatically reduces the die size and cost Area • FD-SOI consumes 42.0% less dynamic power • FD-SOI consumes 21.3% more leakage power Leakage and Dynamic Power • FD-SOI consumes 40.2% less total powerTotal Power Tech Node 28nm FD-SOI (with 0.6v FBB) Samsung 28nm LPP Target Performance 800 MHz 800 MHz Sign-off Corner ss_0.70v_m40c* * ss_0.9v_m40c* * Post-Shrink Area(mm²) w/o utilization 0.492 0.536 Leakage(mW) @ tt25c 1.94 1.6 Dynamic(mW/MHz) @ tt25c * 0.102 0.176 Total Power (mW) @ tt25c 83.64 139.9
  • 35. Cortex A7 Benchmark – 1.2GHz PPA at 1.2GHz – 28nm FD-SOI vs. Samsung 28nm LPH * Note: Dynamic power is based on 10% toggle rate on all data path. • FD-SOI saves 23.8% area • FD-SOI dramatically reduces the die size and cost Area • FD-SOI consumes 6.2% more dynamic power • FD-SOI consumes 74.0% less leakage power Leakage and Dynamic Power • FD-SOI consumes 4.8% more total powerTotal Power Tech Node 28nm FD-SOI (no BB) Samsung 28nm LPH Target Performance 1200 MHz 1200 MHz Sign-off Corner ss_0.90v_m40c ss_0.81v_m40c Post-Shrink Area(mm²) w/o utilization 0.403 0.529 Leakage(mW) @ tt25c 1.462 5.633 Dynamic(mW/MHz) @ tt25c * 0.172 0.162 Total Power (mW) @ tt25c 208.2 198.6 Samsung 28nm LPH has less dynamic power, but more leakage consumption.