SlideShare a Scribd company logo
1 of 10
Download to read offline
International Journal of Electrical and Computer Engineering (IJECE)
Vol. 8, No. 6, December 2018, pp. 4912~4921
ISSN: 2088-8708, DOI: 10.11591/ijece.v8i6.pp4912-4921  4912
Journal homepage: http://iaescore.com/journals/index.php/IJECE
Detection and Monitoring Intra/Inter Crosstalk in Optical
Network on Chip
Ahmed Jedidi
College of Engineering, Ahlia University, Bahrain
CES Laboratory, National Engineering School of Sfax, University of Sfax, Tunisia
Article Info ABSTRACT
Article history:
Received Mar 30, 2018
Revised Jun 18, 2018
Accepted Jul 29, 2018
Multiprocessor system-on-chip (MPSoC) has become an attractive solution
for improving the performance of single chip in objective to satisfy the
performance growing exponentially of the computer applications as
multimedia applications. However, the communication between the different
processors’ cores presents the first challenge front the high performance of
MPSoC. Besides, Network on Chip (NoC) is among the most prominent
solution for handling the on-chip communication. Besides, NoC potential
limited by physical limitation, power consumption, latency and bandwidth in
the both case: increasing data exchange or scalability of Multicores. Optical
communication offers a wider bandwidth and lower power consumption,
based on, a new technology named Optical Network-on-Chip (ONoC) has
been introduced in MPSoC. However, ONoC components induce the
crosstalk noise in the network on both forms intra/inter crosstalk. This
serious problem deteriorates the quality of signals and degrades network
performance. As a result, detection and monitoring the impairments
becoming a challenge to keep the performance in the ONoC. In this article,
we propose a new system to detect and monitor the crosstalk noise in ONoC.
Particularly, we present an analytic model of intra/inter crosstalk at the
optical devices. Then, we evaluate these impairments in objective to present
the motivation to detect and monitor crosstalk in ONoC, in which our system
has the capability to detect, to localize, and to monitor the crosstalk noise in
the whole network. This system offers high reliability, scalability and
efficiency with time running time less than 20 ms.
Keyword:
Inter/intra crosstalk
MPSoC
ONoC
Optical communciation
RTL design
Copyright © 2018 Institute of Advanced Engineering and Science.
All rights reserved.
Corresponding Author:
Ahmed Jedidi,
Department of Computer Engineering,
Ahlia University,
Bahrain.
Email: ajedidi@ahlia.edu.bh
1. INTRODUCTION
The high integration rate of transistor has pushed the semiconductor industry to a shift from the
single-core to a multi-core in one chip. Besides, a new paradigm is introduced multiprocessor system one
chip (MPSoC). However, MPSoC has to face serious problems in terms of energy consumption, execution
time, heat dissipation and data flow. Data transfer between cores in MPSoC became the first challenge to
improve these parameters. Hence, Network on Chip (NoC) is emerging as a promising solution providing
low energy consumption and high bandwidth to improve the performance of MPSoC. However, according
the growing of the performance of computer application NoC become a bottleneck for the scalability and
power dissipation in the MPSoC. Indeed, electrical interconnects are not able to boost transmission rates and
power dissipation which it makes highly desirable to replace them [1].
Int J Elec & Comp Eng ISSN: 2088-8708 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi)
4913
Optical communication offers a high bandwidth with lower power consumption. In fact, it can
achieve bandwidths in the order of terabits per second by exploiting wavelength division multiplexing. Since
photonic system has a potential capacity and become attractive to use it to improve communication in the
chip [2]. As a result, optical network on chip “ONoC” is presented to replace the traditional NoC.
Microresonators (MRs) and nanophotonic waveguides are the key devices in ONoC. However, these
optical devices and waveguides provide transparency (a component is called X-transparent if it forwards
incoming signals from input to output without examining the X aspect of the signal) capabilities which they
induce optical vulnerabilities “crosstalk” in ONoC where is does not exist in a conventional NoC.
Furthermore, one of the serious problems with transparency is the fact that optical crosstalk is additive, and
thus the aggregate effect of crosstalk over a whole ONoC may be more nefarious than a single point of
crosstalk [3]. Consequently, optical crosstalk degrades the quality of signals and increases their BER (Bit
Error Rate) performance [4]. In fact, both forms of optical crosstalk can arise in ONoC system: inter-
crosstalk and intra-crosstalk.
Inter-crosstalk arises when the crosstalk signal is at a wavelength sufficiently different from the
affected signal’s wavelength that the difference is larger than the receiver’s electrical bandwidth. Inter-
crosstalk can also occur through more interactions that are indirect. For example, if one channel affects the
gain seen by another channel, as with nonlinearities. In the second place, intra-crosstalk arises when the
crosstalk signal is at the same wavelength as that of the affected signal or sufficiently close to it that the
difference in wavelengths is within the receiver’s electrical bandwidth. Intra-crosstalk arises in transmission
links due to reflections [5]-[6].
Crosstalk is one of the major problem arising in ONoC and it is a barrier of the scalability and the
performance for the evolution of the MPSoC. As a result, find a method to detect, localize and monitor
crosstalk will be essential. In this paper, we propose a new system to detect and monitor the intra/inter
crosstalk in ONoC. Indeed, we present an analytic model for the both forms of crosstalk induced by the
ONoC components. Based on this study, we evaluate and point out the importance to detect and monitor
crosstalk noise in ONoC. Furthermore, we design and implement a performed hardware system to detect and
monitor inter/intra crosstalk in the whole network.
The rest of the paper is organized as follows: The second section will present an extensive overview
of the related work in the literature. The third section will describe the inner architecture of the basic devices
in ONoC and the network model used in this work. The fourth section will depicit the analytic model of the
crosstalk noise induced in optical components. Mainly, we will describe the crosstalk progress in the
different devices and in the whole network. The fifth section will describe the hardware design and
implementation of the proposed system of detection and monitor the crosstalk in ONoC. The sixth section
will discuss and analyse the fusibility and the different results of our system. Finally, we will conclude and
expose our future works.
2. RELATED WORK
Optical network on chip provide a promising solution to increase the requirements in ultra-high
bandwidth and lower power consumption in the MPSoC. According the progress of technology,
nanophotonic waveguide and optical switch are presented in the chip, which they are the key components for
ONoC. In the last decade, many researchers focus their works to propose a performed architecture of ONoC
in objective to satisfy the different requirements of MPSoC performance. However, the crosstalk noise is one
of the most important issues that researchers face in developing optical network on-chip.
In the literature, most of the researchers focus their work on analysis and modeling of crosstalk
noises induce by the optical devices in ONoC. Furthermore, many studies isolate their work for modeling and
analyzing the crosstalk noise in optical devices separately as router or waveguide. Yiyuan Xie and al. analyse
and optimize cornstalk in 5x5 Hitless Silicon-Based Optical Router [7]. Hence, they analysed crosstalk noise
at device level and router level. Based on the detailed analysis, they proposed a general analytical model to
study the transmission loss, crosstalk noise, optical signal-to noise ratio (OSNR), and bit error ratio (BER).
Indeed, they used the crossing angles of 60⁰ or 120⁰ instead of the conventional 90⁰ crossing angle to design
the optical router. Using this method OSNR is improved by about 10 dB [7].
Fabrizio Gambini and al. proposed a photonic multi-microring NoC, which the theoretical model
based on the transfer matrix method, has been validated through experimental results in terms of transmission
spectra [8]. Transmissions at 10 GB/s have been assessed in terms of BER for both single-wavelength and
multi-wavelength configurations. The integrated NoC consists of 8 thermally tuned microrings coupled to a
central ring, where the BER measurements show performance up to 10−9
at 10 Gb/s with limited crosstalk
and penalty (below 0.5 dB) induced by an interfering transmission [8].
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921
4914
Besides, many works deal with the crosstalk in the whole ONoC. Mainly, it is how the crosstalk
noises effect the performance of the network. Particularly, these studies analyse and model the crosstalk
progress in the different parts of the network, and present their effect to BER and SNR of the network.
Mahdi Niksdat and al. systematically study and compare the worst case as well as the average
crosstalk noise and SNR in three well known optical interconnect architectures, mesh-based, folded-torus-
based, and fat-tree-based ONoCs using WDM [9]. The analytical models for the worst case and the average
crosstalk noise and SNR in the different architectures are presented. Furthermore, the proposed analytical
models are integrated into a newly developed crosstalk noise and loss analysis platform (CLAP) to analyze
the crosstalk noise and SNR in WDM-based ONoCs of any network size using an arbitrary optical router.
The analyses’ results demonstrate that the crosstalk noise is of critical concern to WDM-based ONoCs: in the
worst case, the crosstalk noise power exceeds the signal power in all three WDM-based ONoC architectures,
even when the number of processor cores is small, e.g., 64 [10].
Yiyuan Xie and al. analysed and modelled the crosstalk noise, signal-to-noise ratio (SNR), and bit
error rate (BER) of optical routers and ONoCs [11]-[12]. The analytical models for crosstalk noise, minimum
SNR, and maximum BER in mesh based ONoCs are developed which an automated crosstalk analyser for
optical routers is developed. They, find that crosstalk noise significantly limits the scalability of ONoCs. For
example, due to crosstalk noise, the maximum BER is 10-3
on the 8×8 mesh based ONoC using an optimized
crossbar-based optical router. To achieve the BER of 10-9
for reliable transmissions, the maximum ONoC
size is 6×6.
As we presented above the research works in optical network on chip has limited to analyse and to
model crosstalk noise in both optical components and the whole network. However, Edoardo Fusella,
Alessandro Cilardo present different study in ONoC which they proposed a new mapping system for ONoC
where it consider the direct effect of the crosstalk noise to the architecture of NoC [13]. They propose a class
of algorithms that automatically map the application tasks onto a generic mesh-based photonic NoC
architecture such that the worst-case crosstalk is minimized. Furthermore, the results show that the crosstalk
noise can be significantly reduced by adopting the aware-crosstalk mapping system, thereby allowing higher
network scalability, and can exhibit encouraging improvements over application-oblivious architectures
[13]-[14].
3. BASIC OPTICAL DEVICES AND NETWORK MODEL
Optical communication system offers a high bandwidth and high Quality of Service (QoS).
However, optical components in ONoC introduce crosstalk noise. In this section, we describe the progress of
crosstalk in the optical device moreover in the whole networks [15].
3.1. Optical devices model
Waveguides and micro-resonator (MRs) are the two basic optical elements and extensively used to
construct basic optical switching elements and optical routers. In particular, we have two types of optical
switches: the parallel switching element (PSE) and the crossing switching element (CSE). These elements are
1 x 2 optical switching based to MRs and waveguide crossing [16]-[17].
Figure 1 (a) and (b) present the structure of PSE and CSE respectively in the two states OFF and
ON. When the state of MRn is ON, we select to switch the wavelength to form the first waveguide to the
second this called node in state ON. However, when the state MRn is OFF we have not any switching
operation and in this case, the node is in state OFF [17].
MR1MRnMR1MR1
. . . . . .
Drop Add
Input Through
λn
λn
OFF State
MR1MRw
MR1 MRn MRw. . . . . .
Drop Add
Input Through
λn
λn
ON State
(a)
MR1MRnMR1MR1 . . . . . .
Drop
Add
Input
Throughλn
OFF State
MR1MRw
λn
MR1 MRn MRw. . . . . .
Drop
Add
Input
Throughλn
ON State
MR1MRnMR1MR1
. . . . . .
Drop Add
Input Through
λn
λn
OFF State
MR1MRw
MR1 MRn MRw. . . . . .
Drop Add
Input Through
λn
λn
ON State
(a)
MR1MRnMR1MR1 . . . . . .
Drop
Add
Input
Throughλn
OFF State
MR1MRw
λn
MR1 MRn MRw. . . . . .
Drop
Add
Input
Through
λn
λn
ON State
(b)
Figure 1. Basic optical devices in ONoC: (a) PSE, (b) CSE
Int J Elec & Comp Eng ISSN: 2088-8708 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi)
4915
3.2. Network model
Figure 2 presents an overview of an optical NoC communication between two processors C0 and
C1. When, the processor C0 decides to establish connection with the core C1 an optical signal will be
generated with a specific wavelength λ. Electrical-Optical (E-O) interface on the part of the core C0 starts to
convert the electrical signal in optical signal. After, the optical signals passes through the optical on-chip
network flowing specific nodes. Finally, the optical signal detected with photodectors in Optical- alectrical
(O-E) interface. Thus, optical nodes are the key element in optical NoC and many of them are proposed in
different types of network [18]-[19].
Optical
Network on chip
Processor
C0
Processor
C1
E-O
converter
O-E
converter
Figure 2. Overview of optical communication via OnoC
To evaluate our system we consider the network model as shown in Figure 3. We assume that the
design of the network composed by stages, which the number of stages is direct related with the number of
processor cores N. Moreover, the number of stages P given by the equation 1, where N is the total number of
processor cores.
1
2log
log
*2 






N
P (1)
According the number of stages P in ONoC the total number of nodes R is:
1
2
*2
1
2
1











 


p
i
i
N
R (2)
The inner architecture of node router composed by particular number β of MR according the number
of wavelengths ʎ used in ONoC.
C0 C1 C2 Cw. . .
Cw+1 Cn. . . Cn-1Cw+2
C3 Cw-1
. . .
. . .
. . .
. . .
. . .
. . .
stage 1
stage 2
stage p
...
MR
Figure 3. Structure of Optical Network on Chip
4. CROSSTALK NOISE IN ONOC
Optical crosstalk is presented in ONoC components and degrades the quality of signals, increasing
their BER (Bit Error Rate) performance as they travel through the network [20]. In addition, crosstalk noise
increases signal-to-noise ratio (SNR) and affects the quality of service (QoS) of ONoC. In fact, both forms of
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921
4916
optical crosstalk can arise in ONOC routers: inter-crosstalk and intra-crosstalk [5]. We consider both intra-
channel and inter-channel in one crosstalk form and we call it crosstalk noise.
The intrinsic characteristic of photonic devices allows the crosstalk inducing to the optical signal
according the wavelengths crossing in the optical devices. We assume, that the rate of the crosstalk noise
∆𝑋 𝑁𝑖
λ𝑗
is induced by the node i with wavelength λj and the rate of the crosstalk noise ∆𝑌 𝑊𝑙
λ𝑗
is induced by the
waveguide l with wavelength λj [21]-[22].
We consider the worst case, when each nodes and waveguides of the network immediately induce
crosstalk noise (inter/intra crosstalk) corresponding with a specific wavelength λi. The process of
communication between cores begin by the initiation from the processor core M (CM) to connect with the
processor core N (CN). At this time, ONoC defines the route between CM and CN and selects a specific
wavelength for this request.
This route is composed by a specific number of nodes and waveguides. Therefore, the longest route
is composed by P nodes and P+1 waveguides. However, the shortest route is constituted by 1 node and two
waveguides. As mentioned before, the optical components induce directly the crosstalk noise to the optical
signal passed through them. Furthermore, we define the total crosstalk noise added to the optical signal ʎ𝑖
induced by the different waveguides is:
𝐶𝑟𝑃 𝑤𝑎𝑣𝑒𝑔𝑢𝑖𝑑𝑒
ʎ𝑖
= ∑ ∑ ∆𝑌 𝑊𝑙
λ𝑗
𝑗∄𝑖
𝑗=1
𝐾
𝑙=1
where k is the number of waveguide which constitute the route between CM and CN and ʎj is the wavelength
used in ONoC deprive of ʎi. Additionally, we present the total crosstalk noise appended to the optical signal
ʎ𝑖 induced by the different router nodes is:
𝐶𝑟𝑃𝑛𝑜𝑑𝑒
ʎ𝑖
= ∑ ∑ ∆𝑋 𝑁𝑖
λ𝑗
𝑗∄𝑖
𝑗=1
𝐿
𝑖=1
where L is the number of router nodes which they are a part of the route between CM and CN. Then, the total
crosstalk noise power in the CN for the optical signal ʎ𝑖 is presented by:
𝐶𝑟𝑃 𝑁
ʎ𝑖
= 𝐶𝑟𝑃 𝑤𝑎𝑣𝑒𝑔𝑢𝑖𝑑𝑒
ʎ𝑖
+ 𝐶𝑟𝑃𝑛𝑜𝑑𝑒
ʎ𝑖
𝐶𝑟𝑃 𝑁
ʎ𝑖
= ∑ ∑ ∆𝑌 𝑊𝑙
λ𝑗
𝑗∄𝑖
𝑗=1
𝐾
𝑙=1
+ ∑ ∑ ∆𝑋 𝑁𝑖
λ𝑗
𝑗∄𝑖
𝑗=1
𝐿
𝑖=1
Finally, the SNR of the optical carried on the wavelength ʎ𝑖 is:
𝑆𝑁𝑅λ𝑖
= 10log (
𝑃𝑆𝑖𝑔𝑛𝑎𝑙
λ𝑖
𝑃𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘
λ𝑖
)
where, 𝑃𝑆𝑖𝑔𝑛𝑎𝑙
λ𝑖
is the optical power signal and 𝑃𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘
λ𝑖
is the crosstalk noise power.
To point out the dangerous aspect of the crosstalk on the network, we evaluate the SNR and the
crosstalk power in ONoC. Besides, we use CLAP tools for the simulation which developed by [9]. We
expose the SNR and the power of the crosstalk noise according the size of the network and the number of
wavelength used in ONoC. Precisely, we compare between the longest route and the shortest one.
The Figure 4 (a) and (b) present the SNR and Crosstalk power noise according the size of the
network for the number of ʎ equal 8 and 16 respectively. Notice that the crosstalk power noise slightly
increase according the size of network, but the SNR decreases.
Furthermore, it is clear that the crosstalk power noise is greater for the longest route than the
shortest one, is due to the additive nature of crosstalk noise which it induces each time when they has cross in
the route. Consequently, the crosstalk power noise accumulates through the optical route between source core
and destination one. We notice that the SNR become so high when the number of wavelength employed in
the network are increased.
Int J Elec & Comp Eng ISSN: 2088-8708 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi)
4917
(a) number of ʎ=8 (b) number of ʎ=16
Figure 4. Crosstalk noise power and SNR according the network size
We evaluate the crosstalk power noise and the SNR according the wavelength number employed in
the ONoC as depict in the Figure 5. Particularly, we fixed the network size by 16x16 cores and we sweep
wavelength number from 2 to 32. On account of the increase of the wavelength number, the crosstalk power
noise takes an exponential progress. However, the SNR considerably decreases when the number of
wavelength increase. Definitely, the presence of high number of optical signals in the same waveguide or
optical component causes a direct effect to crosstalk induced in the corresponding wavelength.
Finally, we conclude that the crosstalk noise became critical when we increase the wavelength
number or the network size. Accordingly, detect and monitor crosstalk in ONoC became indispensable.
Figure 5. Crosstalk noise and SNR according the number of wavelengths for 16x16 cores
4. CROSSTALK NOISE DETECTION AND MONITOR SYSTEM
As mentioned before, crosstalk noise is serious obstacles to develop optical network on chip which
the reliability and performance of the MPSoC will be curb. As a Result, find a system that has a capability to
detect and monitor the crosstalk noise in ONoC is essential and vital. Mainly, this system must respect the
following requirements:
 Efficiency
 Scalability
 Facility to implement
To detect and monitor crosstalk in ONoC, we propose the Crosstalk Detection and Monitor System
(CDMS). The main idea of CDMS is to monitor continuously the different impairments (intra/inter crosstalk)
in the whole network. To reach these objectives CDMS is composed by several Crosstalk Detection Bloc
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921
4918
(CDB) distributed in the network according a special localization system as shown in the Figure 6.
Furthermore, CDB is placed between two optical nodes in the same level which CDB splits the various
optical signals passed through these optical routers. The process of function of CDB is given by the algorithm
1. First, we split the optical signals from the input/output of the appropriate optical nodes. To realize this
operation we use, a photodetector module to convert the optical signals in electrical signals. Second, the
different input/output signals passed to CDB which the process of detection and localization of the crosstalk
noise launched. Third, a system of crosstalk classification begin to monitor and to classify the different
detected impairments according the values of the crosstalk noises. Moreover, the crosstalk noises are
classified on 3 types as shown in the Table 1.
C0 C1 C2
C4 C5
C3
stage 1
stage 2
stage 4
C4 C5
stage 3
stage 5
CDB
CDBCDB
CDB
CDB
CDB
Figure 6. Proposed Crosstalk Detection and Localization System in FONoC
Table 1. Impertment alarms types
Imperment Alarm Description
No Crosstalk No detection of crosstalk (healthy signal)
Acceptable Crosstalk 𝐶𝑟𝑃 𝑁
ʎ𝑖
≤ 3.2 𝑑𝑏 && 𝐿 𝑁
ʎ𝑖
∈ 𝑅 𝑢𝑝𝑝𝑒𝑟
ʎ𝑖
(𝑡ℎ𝑒 𝑢𝑝𝑝𝑒𝑟ℎ𝑎𝑙𝑓 𝑜𝑓 𝑡ℎ𝑒 𝑟𝑜𝑢𝑡𝑒)
Dangenrous Crosstalk
𝐶𝑟𝑃 𝑁
ʎ𝑖
> 3.2 𝑑𝑏 ∀ 𝐿 𝑁
ʎ𝑖(𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘 𝑙𝑜𝑐𝑎𝑡𝑖𝑜𝑛 )
OR
𝐶𝑟𝑃 𝑁
ʎ𝑖
≤ 3.2 𝑑𝑏 && 𝐿 𝑁
ʎ𝑖
∈ 𝑅𝑙𝑜𝑤𝑒𝑟
ʎ𝑖
(𝑡ℎ𝑒 𝑙𝑜𝑤𝑒𝑟ℎ𝑎𝑙𝑓 𝑜𝑓 𝑡ℎ𝑒 𝑟𝑜𝑢𝑡𝑒)
The CDMS monitor the crosstalk noise by evaluate the status and features of the signal, which the
classification of the crosstalk noise is essential. Indeed, when the crosstalk noise power is great than 3.2 db
the crosstalk noise is classified as dangerous and we must generate an alarm whatever the localization of the
optical component how responsible for it. Besides, this situation named Dangerous crosstalk level. However,
when the crosstalk noise power is less than 3.2 db, we have two situation:
1. If the optical component how has the responsibility of this impairment is located in the upper-half route,
and we classify this crosstalk noise as acceptable. Besides, the acceptable crosstalk level allow to the
optical signal reach the destination without alarm but they must be examined.
2. If we detect the crosstalk noise at the lower-half route and alarm is generated and we classify this
crosstalk as dangerous. Because, the probability to induce more crosstalk is high and extremely affect the
optical signal.
Int J Elec & Comp Eng ISSN: 2088-8708 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi)
4919
Algorithm 1. Crosstalk noise Monitoring
FOR ʎi=1 to 32
IF (Crosstalk detection = 1) Then
IF (𝐶𝑟𝑃 𝑁
ʎ𝑖
> 3.2 𝑑𝑏) Then
Imperment Alarm = Dangerous Crosstalk
Else IF (𝐶𝑟𝑃 𝑁
ʎ𝑖
≤ 3.2 𝑑𝑏 && 𝐿 𝑁
ʎ𝑖
∈ 𝑅𝑙𝑜𝑤𝑒𝑟
ʎ𝑖
) Then
Imperment Alarm = Dangerous Crosstalk
Else IF (𝐶𝑟𝑃 𝑁
ʎ𝑖
≤ 3.2 𝑑𝑏 && 𝐿 𝑁
ʎ𝑖
∈ 𝑅 𝑢𝑝𝑝𝑒𝑟
ʎ𝑖
) Then
Imperment Alarm = Acceptable Crosstalk
END IF
Else IF (Crosstalk detection = 0) Then
Imperment Alarm = No Crosstalk
END IF
END FOR
To realize these operations in real-time function we design, simulate and implement the CDMS in
RTL. Indeed, the inner architecture of the CDB shown in the Figure 7. Furthermore, CDB composes by
several MRi to split the different optical signal ʎi which the number of MRi equal to the number of ʎ. Next,
we used a photodetector to convert optical signal to electrical one and the number of the photodetectors are
the numbers of ʎ. For the input signals passed to delay process to synchronize with the output signals.
Finally, a system to detect the crosstalk noise presented with the complexity and the cost a directly related
with the number of wavelength used.
CDMS is a distributed system use the different informations collected from the CDB devices. We
centralize these informations in the CDMS, which we proceed the monitoring of the crosstalk noise in the
whole network. Moreover, the CDMS localize and classify the different crosstalk noises in objective to
generate the appropriate alarms as depict in the Algorithm 1.
MR1 MRn MRw
OPwOPn
OP1
Downstream
(split signal)
MRwMRnMR1
OP1 OPn OPw
Upstream
(split signal)
Delay Process
Crosstalk
Detection
Delay Process
Crosstalk
Detection
Delay Process
Crosstalk
Detection
Q
Q
S ET
CLR
D
Q
Q
S ET
CLR
D
- = =
CrpTh1 CrpTh2
Alarm1
0
Alarm2
1
Alarm
. . .
. . .
. . .
Figure 7. Crosstalk Detection Block
5. RESULTS AND ANALYSIS
To discuss the feasibility, the reliability, the scalability and the cost of our system, we simulate,
synthesize and implement CDMS in FPGA. Furthermore, we used the STARTER Kit of Xilinx with the
different simulation and syntheses tools (Project Navigator of Xilinx and ModelSim). Particularly, we
selected SPARTAN-3E for our work [23].
We study the cost, the complexity and the scalability of CDMS according the size of the network
and the number of the wavelengths used in ONoC. Besides, these parameters evaluate by the occupation area
of the CDMS in the chip as shown in the Figure 8. We notice that the area occupation in the chip is the
number of LUTs used on chip. Moreover, the total number of LUTs exponentially increases according the
number of processor cores scales. Indeed, this evolution explained by the increase of the number of CDB
 ISSN: 2088-8708
Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921
4920
according the size of the network. In addition, we remark that the execution times increases smoothly as
function as the number of cores which they reflect the high scalability of CDMS. In particular, the average of
the exactions time is around 23 microseconds. As result, CDMS reach a real time function with a high
scalability. Indeed, when the network has 2048 processor cores CDMS need less than 4000 LUTs and this
value is 0.01% of the size of the chip and the execution time is less than 25 microseconds. The penalty from
the photodetector operation slightly effect the real time function of the CDMS because all devices are
implemented in the same chip and the conception of the CDMS boost the real time execution by a high RTL
design.
Figure 8. Complexity and scalability of CDLS in chip
To better understanding the cost and the complexity of the CDMS, we explore the cost and the
scalability of CDB according the number of the wavelength ʎi used in ONoC. The Figure 9 presents the
complexity of the CDB define as the rate of the LuTs number over the wavelength number ʎ used in ONoC.
Similarly, we present the flow of data process as performance and scalability of CDB. We realize that the
complexity of CDB increase significantly when the number of ʎ is between 2 and 16 then this variation
slightly stabilizes. Indeed, this progress of the complexity is due to the reuse of the hardware blocs, which the
complexity rate is 64% for ʎ equal 16 than it is 62% for ʎ equal 32. Otherwise, we notice that the
performance and scalability of CDB are mostly constant as function the number of wavelengths. As a result,
the CDB offers a high scalability and performance with an appropriate complexity when the number of
wavelengths exceeds 16.
Figure 9. Complexity and Data flow of CDB
6. CONCLUSION
The technology growth of transistor integration has now reached its limits. Moreover, this high
transistor integration rate has pushed the semiconductor industry to shift from the single-core to a multi-core
in one chip (MPSoC). One of the serious problems of the MPSoC is the communications between the
Int J Elec & Comp Eng ISSN: 2088-8708 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi)
4921
different processor’s cores. In this context, Network on Chip is a promote solution to solve this problem but
is limited by the increased number of cores implemented on chip. Optical Network on Chip is a promotional
solution that solves the problem of high rate of data exchange between cores with less energy consumption.
However, in optical communication ONoC is affected by crosstalk noise, which is a major problem that
hinders the achievement and maintenance of high performance. In fact, crosstalk noise deteriorates the
quality of signals and hence degrades system’s performance. In this paper we proposed a new system to
detect and monitor crosstalk noise in ONoC, which the contribution of this work if to offer the first
completely system to detect and monitor alarms induced by crosstalk in ONoC. Particularly, we described the
distributed architecture and the function of CDMS, also, we focused on the hardware design of CDB. Finally,
we implemented and simulated our system to evaluate there performance. The results have demonstrated that,
our system offers a high scalability with low rate of occupation in area of the chip as well as a real-time
function with 23 microseconds as execution time.
REFERENCES
[1] E. Bonetto, et al., “Optical technologies can improve the energy efficiency of networks,” Proc. 35th Eur. Conf.
Opt. Commun., pp. 1–4, 2009.
[2] M. J. Cianchetti, et al., “Phastlane: A rapid transit optical routing network,” Proc. 36th Annu. ISCA, pp. 441–450,
2009.
[3] L. Zhou, et al., “A Joint-Coding Scheme with Crosstalk Avoidance in Network on Chip,” TELKOMNIKA
(Telecommunication Computing Electronics and Control), vol/issue: 11(1), 2013.
[4] M. F. Chatmen, et al., “New Design of Network on Chip Based on Virtual Routers,” Indonesian Journal of
Electrical Engineering and Computer Science(IJEECS), vol/issue: 2(1), pp. 115-131, 2016.
[5] H. A. M. Harb, et al., “A Study of the Number of Wavelengths Impact in the Optical Burst Switching Core Node,”
Proceeding of the Electrical Engineering Computer Science and Informatics, Yogyakarta, Indonesia, pp. 664-667,
2017.
[6] A. Jedidi, et al., “Detection and localization of crosstalk in an all-optical network,” Journal of optics, vol/issue:
13(1), 2011.
[7] Y. Xie, et al., “Crosstalk Noise Analysis and Optimization in 5x5 Hitless Silicon-Based Optical Router for Optical
Networks-on-Chip (ONoC),” Journal of Lightwave Technology, vol/issue: 30(1), 2012.
[8] F. Gambini, et al., “BER evaluation of a low-crosstalk silicon integrated multi-microring network-on-chip,” optics
express journal, 2015.
[9] M. Nikdast, et al., “Systematic analysis of crosstalk noise in folded-torus based optical networks-on-chip,” IEEE
Trans. Comput.-Aided Design Integr. Circuits Syst., vol/issue: 33(3), pp. 437–450, 2014.
[10] M. Nikdast, et al., “Crosstalk Noise in WDM-based Optical Networks-on-Chip: a Formal Study and Comparison,”
IEEE Transactions on Very Large Scale Integration Systems, vol/issue: 23(11), pp. 2552-2565, 2015.
[11] Y. Xie, et al., “Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip,” IEEE
Trans. Very Large Scale Integr. (VLSI) Syst., vol/issue: 21(10), pp. 1823–1836, 2013.
[12] Y. Xie, et al., “Crosstalk noise and bit error rate analysis for optical network-on-chip,” Proc. 47th ACM/IEEE DAC,
pp. 657–660, 2010.
[13] E. Fusella and A. Cilardo, “PhoNoCMap: an Application Mapping Tool for Photonic Networks-on-Chip,” Design,
Automation & Test in Europe Conference & Exhibition (DATE), 2016.
[14] E. Fusella and A. Cilardo, “Crosstalk-Aware Automated Mapping for Optical Networks-on-Chip,” ACM Trans.
Embed. Comput. Syst., vol/issue: 16(1), 2016.
[15] J. Chan, et al., “Physical-layer modeling and system-level design of chip-scale photonic interconnection networks,”
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol/issue: 30(10), pp. 1507–1520, 2011.
[16] W. Ding, et al., “Compact and low crosstalk waveguide crossing using impedance matched metamaterial,” Appl.
Phys. Lett., vol/issue: 96(11), pp. 111114-1–111114-3, 2010.
[17] Q. Li, et al., “Design and demonstration of compact, wide bandwidth coupled-resonator filters on a silicon-on-
insulator platform,” Opt. Exp., vol/issue: 17(4), pp. 2247–2254, 2009.
[18] D. Nikolova, et al., “Scaling silicon photonic switch fabrics for data center interconnection networks,” Opt.
Express, vol/issue: 23(2), pp. 1159–1175, 2015.
[19] M. Petracca, et al., “Design Exploration of Optical Interconnection Networks for Chip Multiprocessors,” HOTI,
2008.
[20] L. H. K. Duong, et al., “A case study of signal-to-noise ratio in ring based optical networks-on-chip,” IEEE Des.
Test Comput., vol/issue: 31(5), pp. 55–65, 2014.
[21] A. Parini, et al., “BER evaluation of a passive SOI WDM router,” IEEE Photon. Technol. Lett., vol/issue: 25(23),
pp. 2285–2288, 2013.
[22] H. Gu, et al., “A Low-power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip,”
DATE, 2009.
[23] F. Xia, et al., “Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip
optical interconnects,” Optical Express, vol/issue: 15(19), pp. 11934-11941, 2007.

More Related Content

What's hot

78 ieee analysis of power consumption in future high capicity network nodes
78 ieee analysis of power consumption in future high capicity network nodes78 ieee analysis of power consumption in future high capicity network nodes
78 ieee analysis of power consumption in future high capicity network nodesengrarif
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelIJMER
 
Performance Analysis of Bus Topology in Fiber Optic Communication
Performance Analysis of Bus Topology in Fiber Optic CommunicationPerformance Analysis of Bus Topology in Fiber Optic Communication
Performance Analysis of Bus Topology in Fiber Optic Communicationijceronline
 
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1Tal Lavian Ph.D.
 
Woban Prototype Ieee Network
Woban Prototype Ieee NetworkWoban Prototype Ieee Network
Woban Prototype Ieee NetworkShahab Shahid
 
Simulative Performance Evaluation of a Free Space Optical Communication Link ...
Simulative Performance Evaluation of a Free Space Optical Communication Link ...Simulative Performance Evaluation of a Free Space Optical Communication Link ...
Simulative Performance Evaluation of a Free Space Optical Communication Link ...Editor IJCATR
 
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...Yenming Huang
 
IRJET- GMPLS based Multilayer Service Network Architecture
IRJET- GMPLS based Multilayer Service Network ArchitectureIRJET- GMPLS based Multilayer Service Network Architecture
IRJET- GMPLS based Multilayer Service Network ArchitectureIRJET Journal
 
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...Security Issues and the Energy Consumption in the Optical Burst Switched Netw...
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...ijtsrd
 
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...IRJET Journal
 
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...IJCNCJournal
 
V.KARTHIKEYAN PUBLISHED ARTICLE
V.KARTHIKEYAN PUBLISHED ARTICLEV.KARTHIKEYAN PUBLISHED ARTICLE
V.KARTHIKEYAN PUBLISHED ARTICLEKARTHIKEYAN V
 
An optimized path-selection using airtime metric in OLSR networks
An optimized path-selection using airtime metric in OLSR networksAn optimized path-selection using airtime metric in OLSR networks
An optimized path-selection using airtime metric in OLSR networksThomas Aure
 
Multistage interconnection networks a transition to optical
Multistage interconnection networks a transition to opticalMultistage interconnection networks a transition to optical
Multistage interconnection networks a transition to opticaleSAT Publishing House
 
Bit Error Rate Analysis in WiMAX Communication at Vehicular Speeds using mod...
Bit Error Rate Analysis in WiMAX Communication at Vehicular  Speeds using mod...Bit Error Rate Analysis in WiMAX Communication at Vehicular  Speeds using mod...
Bit Error Rate Analysis in WiMAX Communication at Vehicular Speeds using mod...IJMER
 
Issues on Optical Networks at UFABC - Luiz Henrique Bonani
Issues on Optical Networks at UFABC - Luiz Henrique BonaniIssues on Optical Networks at UFABC - Luiz Henrique Bonani
Issues on Optical Networks at UFABC - Luiz Henrique BonaniCPqD
 
Influence of various application types on the performance of LTE mobile networks
Influence of various application types on the performance of LTE mobile networksInfluence of various application types on the performance of LTE mobile networks
Influence of various application types on the performance of LTE mobile networksIJECEIAES
 
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...Dalton Valadares
 

What's hot (20)

78 ieee analysis of power consumption in future high capicity network nodes
78 ieee analysis of power consumption in future high capicity network nodes78 ieee analysis of power consumption in future high capicity network nodes
78 ieee analysis of power consumption in future high capicity network nodes
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc Model
 
Performance Analysis of Bus Topology in Fiber Optic Communication
Performance Analysis of Bus Topology in Fiber Optic CommunicationPerformance Analysis of Bus Topology in Fiber Optic Communication
Performance Analysis of Bus Topology in Fiber Optic Communication
 
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1
Optical Network Infrastructure for Grid, Draft-ggf-ghpn-opticalnets-1
 
Woban Prototype Ieee Network
Woban Prototype Ieee NetworkWoban Prototype Ieee Network
Woban Prototype Ieee Network
 
Simulative Performance Evaluation of a Free Space Optical Communication Link ...
Simulative Performance Evaluation of a Free Space Optical Communication Link ...Simulative Performance Evaluation of a Free Space Optical Communication Link ...
Simulative Performance Evaluation of a Free Space Optical Communication Link ...
 
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...
Optimal Preamble Design for Short Packet Circularly Pulse-Shaped OFDM Transmi...
 
IRJET- GMPLS based Multilayer Service Network Architecture
IRJET- GMPLS based Multilayer Service Network ArchitectureIRJET- GMPLS based Multilayer Service Network Architecture
IRJET- GMPLS based Multilayer Service Network Architecture
 
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...Security Issues and the Energy Consumption in the Optical Burst Switched Netw...
Security Issues and the Energy Consumption in the Optical Burst Switched Netw...
 
Mn2521592162
Mn2521592162Mn2521592162
Mn2521592162
 
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...
IRJET- Security and QoS Aware Dynamic Clustering (SQADC) Routing Protocol for...
 
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...
ENHANCED PARTICLE SWARM OPTIMIZATION FOR EFFECTIVE RELAY NODES DEPLOYMENT IN ...
 
V.KARTHIKEYAN PUBLISHED ARTICLE
V.KARTHIKEYAN PUBLISHED ARTICLEV.KARTHIKEYAN PUBLISHED ARTICLE
V.KARTHIKEYAN PUBLISHED ARTICLE
 
An optimized path-selection using airtime metric in OLSR networks
An optimized path-selection using airtime metric in OLSR networksAn optimized path-selection using airtime metric in OLSR networks
An optimized path-selection using airtime metric in OLSR networks
 
Multistage interconnection networks a transition to optical
Multistage interconnection networks a transition to opticalMultistage interconnection networks a transition to optical
Multistage interconnection networks a transition to optical
 
P15
P15P15
P15
 
Bit Error Rate Analysis in WiMAX Communication at Vehicular Speeds using mod...
Bit Error Rate Analysis in WiMAX Communication at Vehicular  Speeds using mod...Bit Error Rate Analysis in WiMAX Communication at Vehicular  Speeds using mod...
Bit Error Rate Analysis in WiMAX Communication at Vehicular Speeds using mod...
 
Issues on Optical Networks at UFABC - Luiz Henrique Bonani
Issues on Optical Networks at UFABC - Luiz Henrique BonaniIssues on Optical Networks at UFABC - Luiz Henrique Bonani
Issues on Optical Networks at UFABC - Luiz Henrique Bonani
 
Influence of various application types on the performance of LTE mobile networks
Influence of various application types on the performance of LTE mobile networksInfluence of various application types on the performance of LTE mobile networks
Influence of various application types on the performance of LTE mobile networks
 
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...
802.11g Signal Strength Evaluation in an Industrial Environment (Elsevier Int...
 

Similar to Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip

EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONVLSICS Design
 
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET  CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET  CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONVLSICS Design
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET Journal
 
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...A Unified Approach for Performance Degradation Analysis from Transistor to Gat...
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...IJECEIAES
 
Silicon Photonics and Photonic NoCs: A Survey
Silicon Photonics and Photonic NoCs: A SurveySilicon Photonics and Photonic NoCs: A Survey
Silicon Photonics and Photonic NoCs: A Surveydrv11291
 
Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology VLSICS Design
 
New Frontiers in Optical Communication Systems and Networks
New Frontiers in Optical Communication Systems and NetworksNew Frontiers in Optical Communication Systems and Networks
New Frontiers in Optical Communication Systems and NetworksBehnam Shariati
 
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...AIRCC Publishing Corporation
 
International Journal of Computer Science & Information Technology (IJCSIT)
International Journal of Computer Science & Information Technology (IJCSIT) International Journal of Computer Science & Information Technology (IJCSIT)
International Journal of Computer Science & Information Technology (IJCSIT) ijcsit
 
Application Aware Topology Generation for Surface Wave Networks-on-Chip
Application Aware Topology Generation for Surface Wave Networks-on-ChipApplication Aware Topology Generation for Surface Wave Networks-on-Chip
Application Aware Topology Generation for Surface Wave Networks-on-Chipzhao fu
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSijwmn
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSijwmn
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopIAEME Publication
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopIAEME Publication
 
Design optimization of optical wireless communication owc focusing on light f...
Design optimization of optical wireless communication owc focusing on light f...Design optimization of optical wireless communication owc focusing on light f...
Design optimization of optical wireless communication owc focusing on light f...IAEME Publication
 
Trends and evolution of optical networks and technologies
Trends and evolution of optical networks and technologiesTrends and evolution of optical networks and technologies
Trends and evolution of optical networks and technologiesMd.Bellal Hossain
 

Similar to Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (20)

EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
 
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET  CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET  CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
 
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...A Unified Approach for Performance Degradation Analysis from Transistor to Gat...
A Unified Approach for Performance Degradation Analysis from Transistor to Gat...
 
Silicon Photonics and Photonic NoCs: A Survey
Silicon Photonics and Photonic NoCs: A SurveySilicon Photonics and Photonic NoCs: A Survey
Silicon Photonics and Photonic NoCs: A Survey
 
Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology
 
New Frontiers in Optical Communication Systems and Networks
New Frontiers in Optical Communication Systems and NetworksNew Frontiers in Optical Communication Systems and Networks
New Frontiers in Optical Communication Systems and Networks
 
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...
Concurrency Within Ternary Galois Processing of Highly-regular 3D Networks Vi...
 
International Journal of Computer Science & Information Technology (IJCSIT)
International Journal of Computer Science & Information Technology (IJCSIT) International Journal of Computer Science & Information Technology (IJCSIT)
International Journal of Computer Science & Information Technology (IJCSIT)
 
Application Aware Topology Generation for Surface Wave Networks-on-Chip
Application Aware Topology Generation for Surface Wave Networks-on-ChipApplication Aware Topology Generation for Surface Wave Networks-on-Chip
Application Aware Topology Generation for Surface Wave Networks-on-Chip
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A survey
 
Design of fault tolerant algorithm for network on chip router using field pr...
Design of fault tolerant algorithm for network on chip router  using field pr...Design of fault tolerant algorithm for network on chip router  using field pr...
Design of fault tolerant algorithm for network on chip router using field pr...
 
06003731
0600373106003731
06003731
 
Kg3418451855
Kg3418451855Kg3418451855
Kg3418451855
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
 
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKSSLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
SLOTTED CSMA/CA BASED ENERGY EFFICIENT MAC PROTOCOL DESIGN IN NANONETWORKS
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flop
 
Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flop
 
Design optimization of optical wireless communication owc focusing on light f...
Design optimization of optical wireless communication owc focusing on light f...Design optimization of optical wireless communication owc focusing on light f...
Design optimization of optical wireless communication owc focusing on light f...
 
Trends and evolution of optical networks and technologies
Trends and evolution of optical networks and technologiesTrends and evolution of optical networks and technologies
Trends and evolution of optical networks and technologies
 

More from IJECEIAES

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...IJECEIAES
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionIJECEIAES
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...IJECEIAES
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...IJECEIAES
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningIJECEIAES
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...IJECEIAES
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...IJECEIAES
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...IJECEIAES
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...IJECEIAES
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyIJECEIAES
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationIJECEIAES
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceIJECEIAES
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...IJECEIAES
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...IJECEIAES
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...IJECEIAES
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...IJECEIAES
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...IJECEIAES
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...IJECEIAES
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...IJECEIAES
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...IJECEIAES
 

More from IJECEIAES (20)

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regression
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learning
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancy
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimization
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performance
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
 

Recently uploaded

MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Christo Ananth
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 

Recently uploaded (20)

MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 

Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip

  • 1. International Journal of Electrical and Computer Engineering (IJECE) Vol. 8, No. 6, December 2018, pp. 4912~4921 ISSN: 2088-8708, DOI: 10.11591/ijece.v8i6.pp4912-4921  4912 Journal homepage: http://iaescore.com/journals/index.php/IJECE Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip Ahmed Jedidi College of Engineering, Ahlia University, Bahrain CES Laboratory, National Engineering School of Sfax, University of Sfax, Tunisia Article Info ABSTRACT Article history: Received Mar 30, 2018 Revised Jun 18, 2018 Accepted Jul 29, 2018 Multiprocessor system-on-chip (MPSoC) has become an attractive solution for improving the performance of single chip in objective to satisfy the performance growing exponentially of the computer applications as multimedia applications. However, the communication between the different processors’ cores presents the first challenge front the high performance of MPSoC. Besides, Network on Chip (NoC) is among the most prominent solution for handling the on-chip communication. Besides, NoC potential limited by physical limitation, power consumption, latency and bandwidth in the both case: increasing data exchange or scalability of Multicores. Optical communication offers a wider bandwidth and lower power consumption, based on, a new technology named Optical Network-on-Chip (ONoC) has been introduced in MPSoC. However, ONoC components induce the crosstalk noise in the network on both forms intra/inter crosstalk. This serious problem deteriorates the quality of signals and degrades network performance. As a result, detection and monitoring the impairments becoming a challenge to keep the performance in the ONoC. In this article, we propose a new system to detect and monitor the crosstalk noise in ONoC. Particularly, we present an analytic model of intra/inter crosstalk at the optical devices. Then, we evaluate these impairments in objective to present the motivation to detect and monitor crosstalk in ONoC, in which our system has the capability to detect, to localize, and to monitor the crosstalk noise in the whole network. This system offers high reliability, scalability and efficiency with time running time less than 20 ms. Keyword: Inter/intra crosstalk MPSoC ONoC Optical communciation RTL design Copyright © 2018 Institute of Advanced Engineering and Science. All rights reserved. Corresponding Author: Ahmed Jedidi, Department of Computer Engineering, Ahlia University, Bahrain. Email: ajedidi@ahlia.edu.bh 1. INTRODUCTION The high integration rate of transistor has pushed the semiconductor industry to a shift from the single-core to a multi-core in one chip. Besides, a new paradigm is introduced multiprocessor system one chip (MPSoC). However, MPSoC has to face serious problems in terms of energy consumption, execution time, heat dissipation and data flow. Data transfer between cores in MPSoC became the first challenge to improve these parameters. Hence, Network on Chip (NoC) is emerging as a promising solution providing low energy consumption and high bandwidth to improve the performance of MPSoC. However, according the growing of the performance of computer application NoC become a bottleneck for the scalability and power dissipation in the MPSoC. Indeed, electrical interconnects are not able to boost transmission rates and power dissipation which it makes highly desirable to replace them [1].
  • 2. Int J Elec & Comp Eng ISSN: 2088-8708  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi) 4913 Optical communication offers a high bandwidth with lower power consumption. In fact, it can achieve bandwidths in the order of terabits per second by exploiting wavelength division multiplexing. Since photonic system has a potential capacity and become attractive to use it to improve communication in the chip [2]. As a result, optical network on chip “ONoC” is presented to replace the traditional NoC. Microresonators (MRs) and nanophotonic waveguides are the key devices in ONoC. However, these optical devices and waveguides provide transparency (a component is called X-transparent if it forwards incoming signals from input to output without examining the X aspect of the signal) capabilities which they induce optical vulnerabilities “crosstalk” in ONoC where is does not exist in a conventional NoC. Furthermore, one of the serious problems with transparency is the fact that optical crosstalk is additive, and thus the aggregate effect of crosstalk over a whole ONoC may be more nefarious than a single point of crosstalk [3]. Consequently, optical crosstalk degrades the quality of signals and increases their BER (Bit Error Rate) performance [4]. In fact, both forms of optical crosstalk can arise in ONoC system: inter- crosstalk and intra-crosstalk. Inter-crosstalk arises when the crosstalk signal is at a wavelength sufficiently different from the affected signal’s wavelength that the difference is larger than the receiver’s electrical bandwidth. Inter- crosstalk can also occur through more interactions that are indirect. For example, if one channel affects the gain seen by another channel, as with nonlinearities. In the second place, intra-crosstalk arises when the crosstalk signal is at the same wavelength as that of the affected signal or sufficiently close to it that the difference in wavelengths is within the receiver’s electrical bandwidth. Intra-crosstalk arises in transmission links due to reflections [5]-[6]. Crosstalk is one of the major problem arising in ONoC and it is a barrier of the scalability and the performance for the evolution of the MPSoC. As a result, find a method to detect, localize and monitor crosstalk will be essential. In this paper, we propose a new system to detect and monitor the intra/inter crosstalk in ONoC. Indeed, we present an analytic model for the both forms of crosstalk induced by the ONoC components. Based on this study, we evaluate and point out the importance to detect and monitor crosstalk noise in ONoC. Furthermore, we design and implement a performed hardware system to detect and monitor inter/intra crosstalk in the whole network. The rest of the paper is organized as follows: The second section will present an extensive overview of the related work in the literature. The third section will describe the inner architecture of the basic devices in ONoC and the network model used in this work. The fourth section will depicit the analytic model of the crosstalk noise induced in optical components. Mainly, we will describe the crosstalk progress in the different devices and in the whole network. The fifth section will describe the hardware design and implementation of the proposed system of detection and monitor the crosstalk in ONoC. The sixth section will discuss and analyse the fusibility and the different results of our system. Finally, we will conclude and expose our future works. 2. RELATED WORK Optical network on chip provide a promising solution to increase the requirements in ultra-high bandwidth and lower power consumption in the MPSoC. According the progress of technology, nanophotonic waveguide and optical switch are presented in the chip, which they are the key components for ONoC. In the last decade, many researchers focus their works to propose a performed architecture of ONoC in objective to satisfy the different requirements of MPSoC performance. However, the crosstalk noise is one of the most important issues that researchers face in developing optical network on-chip. In the literature, most of the researchers focus their work on analysis and modeling of crosstalk noises induce by the optical devices in ONoC. Furthermore, many studies isolate their work for modeling and analyzing the crosstalk noise in optical devices separately as router or waveguide. Yiyuan Xie and al. analyse and optimize cornstalk in 5x5 Hitless Silicon-Based Optical Router [7]. Hence, they analysed crosstalk noise at device level and router level. Based on the detailed analysis, they proposed a general analytical model to study the transmission loss, crosstalk noise, optical signal-to noise ratio (OSNR), and bit error ratio (BER). Indeed, they used the crossing angles of 60⁰ or 120⁰ instead of the conventional 90⁰ crossing angle to design the optical router. Using this method OSNR is improved by about 10 dB [7]. Fabrizio Gambini and al. proposed a photonic multi-microring NoC, which the theoretical model based on the transfer matrix method, has been validated through experimental results in terms of transmission spectra [8]. Transmissions at 10 GB/s have been assessed in terms of BER for both single-wavelength and multi-wavelength configurations. The integrated NoC consists of 8 thermally tuned microrings coupled to a central ring, where the BER measurements show performance up to 10−9 at 10 Gb/s with limited crosstalk and penalty (below 0.5 dB) induced by an interfering transmission [8].
  • 3.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921 4914 Besides, many works deal with the crosstalk in the whole ONoC. Mainly, it is how the crosstalk noises effect the performance of the network. Particularly, these studies analyse and model the crosstalk progress in the different parts of the network, and present their effect to BER and SNR of the network. Mahdi Niksdat and al. systematically study and compare the worst case as well as the average crosstalk noise and SNR in three well known optical interconnect architectures, mesh-based, folded-torus- based, and fat-tree-based ONoCs using WDM [9]. The analytical models for the worst case and the average crosstalk noise and SNR in the different architectures are presented. Furthermore, the proposed analytical models are integrated into a newly developed crosstalk noise and loss analysis platform (CLAP) to analyze the crosstalk noise and SNR in WDM-based ONoCs of any network size using an arbitrary optical router. The analyses’ results demonstrate that the crosstalk noise is of critical concern to WDM-based ONoCs: in the worst case, the crosstalk noise power exceeds the signal power in all three WDM-based ONoC architectures, even when the number of processor cores is small, e.g., 64 [10]. Yiyuan Xie and al. analysed and modelled the crosstalk noise, signal-to-noise ratio (SNR), and bit error rate (BER) of optical routers and ONoCs [11]-[12]. The analytical models for crosstalk noise, minimum SNR, and maximum BER in mesh based ONoCs are developed which an automated crosstalk analyser for optical routers is developed. They, find that crosstalk noise significantly limits the scalability of ONoCs. For example, due to crosstalk noise, the maximum BER is 10-3 on the 8×8 mesh based ONoC using an optimized crossbar-based optical router. To achieve the BER of 10-9 for reliable transmissions, the maximum ONoC size is 6×6. As we presented above the research works in optical network on chip has limited to analyse and to model crosstalk noise in both optical components and the whole network. However, Edoardo Fusella, Alessandro Cilardo present different study in ONoC which they proposed a new mapping system for ONoC where it consider the direct effect of the crosstalk noise to the architecture of NoC [13]. They propose a class of algorithms that automatically map the application tasks onto a generic mesh-based photonic NoC architecture such that the worst-case crosstalk is minimized. Furthermore, the results show that the crosstalk noise can be significantly reduced by adopting the aware-crosstalk mapping system, thereby allowing higher network scalability, and can exhibit encouraging improvements over application-oblivious architectures [13]-[14]. 3. BASIC OPTICAL DEVICES AND NETWORK MODEL Optical communication system offers a high bandwidth and high Quality of Service (QoS). However, optical components in ONoC introduce crosstalk noise. In this section, we describe the progress of crosstalk in the optical device moreover in the whole networks [15]. 3.1. Optical devices model Waveguides and micro-resonator (MRs) are the two basic optical elements and extensively used to construct basic optical switching elements and optical routers. In particular, we have two types of optical switches: the parallel switching element (PSE) and the crossing switching element (CSE). These elements are 1 x 2 optical switching based to MRs and waveguide crossing [16]-[17]. Figure 1 (a) and (b) present the structure of PSE and CSE respectively in the two states OFF and ON. When the state of MRn is ON, we select to switch the wavelength to form the first waveguide to the second this called node in state ON. However, when the state MRn is OFF we have not any switching operation and in this case, the node is in state OFF [17]. MR1MRnMR1MR1 . . . . . . Drop Add Input Through λn λn OFF State MR1MRw MR1 MRn MRw. . . . . . Drop Add Input Through λn λn ON State (a) MR1MRnMR1MR1 . . . . . . Drop Add Input Throughλn OFF State MR1MRw λn MR1 MRn MRw. . . . . . Drop Add Input Throughλn ON State MR1MRnMR1MR1 . . . . . . Drop Add Input Through λn λn OFF State MR1MRw MR1 MRn MRw. . . . . . Drop Add Input Through λn λn ON State (a) MR1MRnMR1MR1 . . . . . . Drop Add Input Throughλn OFF State MR1MRw λn MR1 MRn MRw. . . . . . Drop Add Input Through λn λn ON State (b) Figure 1. Basic optical devices in ONoC: (a) PSE, (b) CSE
  • 4. Int J Elec & Comp Eng ISSN: 2088-8708  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi) 4915 3.2. Network model Figure 2 presents an overview of an optical NoC communication between two processors C0 and C1. When, the processor C0 decides to establish connection with the core C1 an optical signal will be generated with a specific wavelength λ. Electrical-Optical (E-O) interface on the part of the core C0 starts to convert the electrical signal in optical signal. After, the optical signals passes through the optical on-chip network flowing specific nodes. Finally, the optical signal detected with photodectors in Optical- alectrical (O-E) interface. Thus, optical nodes are the key element in optical NoC and many of them are proposed in different types of network [18]-[19]. Optical Network on chip Processor C0 Processor C1 E-O converter O-E converter Figure 2. Overview of optical communication via OnoC To evaluate our system we consider the network model as shown in Figure 3. We assume that the design of the network composed by stages, which the number of stages is direct related with the number of processor cores N. Moreover, the number of stages P given by the equation 1, where N is the total number of processor cores. 1 2log log *2        N P (1) According the number of stages P in ONoC the total number of nodes R is: 1 2 *2 1 2 1                p i i N R (2) The inner architecture of node router composed by particular number β of MR according the number of wavelengths ʎ used in ONoC. C0 C1 C2 Cw. . . Cw+1 Cn. . . Cn-1Cw+2 C3 Cw-1 . . . . . . . . . . . . . . . . . . stage 1 stage 2 stage p ... MR Figure 3. Structure of Optical Network on Chip 4. CROSSTALK NOISE IN ONOC Optical crosstalk is presented in ONoC components and degrades the quality of signals, increasing their BER (Bit Error Rate) performance as they travel through the network [20]. In addition, crosstalk noise increases signal-to-noise ratio (SNR) and affects the quality of service (QoS) of ONoC. In fact, both forms of
  • 5.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921 4916 optical crosstalk can arise in ONOC routers: inter-crosstalk and intra-crosstalk [5]. We consider both intra- channel and inter-channel in one crosstalk form and we call it crosstalk noise. The intrinsic characteristic of photonic devices allows the crosstalk inducing to the optical signal according the wavelengths crossing in the optical devices. We assume, that the rate of the crosstalk noise ∆𝑋 𝑁𝑖 λ𝑗 is induced by the node i with wavelength λj and the rate of the crosstalk noise ∆𝑌 𝑊𝑙 λ𝑗 is induced by the waveguide l with wavelength λj [21]-[22]. We consider the worst case, when each nodes and waveguides of the network immediately induce crosstalk noise (inter/intra crosstalk) corresponding with a specific wavelength λi. The process of communication between cores begin by the initiation from the processor core M (CM) to connect with the processor core N (CN). At this time, ONoC defines the route between CM and CN and selects a specific wavelength for this request. This route is composed by a specific number of nodes and waveguides. Therefore, the longest route is composed by P nodes and P+1 waveguides. However, the shortest route is constituted by 1 node and two waveguides. As mentioned before, the optical components induce directly the crosstalk noise to the optical signal passed through them. Furthermore, we define the total crosstalk noise added to the optical signal ʎ𝑖 induced by the different waveguides is: 𝐶𝑟𝑃 𝑤𝑎𝑣𝑒𝑔𝑢𝑖𝑑𝑒 ʎ𝑖 = ∑ ∑ ∆𝑌 𝑊𝑙 λ𝑗 𝑗∄𝑖 𝑗=1 𝐾 𝑙=1 where k is the number of waveguide which constitute the route between CM and CN and ʎj is the wavelength used in ONoC deprive of ʎi. Additionally, we present the total crosstalk noise appended to the optical signal ʎ𝑖 induced by the different router nodes is: 𝐶𝑟𝑃𝑛𝑜𝑑𝑒 ʎ𝑖 = ∑ ∑ ∆𝑋 𝑁𝑖 λ𝑗 𝑗∄𝑖 𝑗=1 𝐿 𝑖=1 where L is the number of router nodes which they are a part of the route between CM and CN. Then, the total crosstalk noise power in the CN for the optical signal ʎ𝑖 is presented by: 𝐶𝑟𝑃 𝑁 ʎ𝑖 = 𝐶𝑟𝑃 𝑤𝑎𝑣𝑒𝑔𝑢𝑖𝑑𝑒 ʎ𝑖 + 𝐶𝑟𝑃𝑛𝑜𝑑𝑒 ʎ𝑖 𝐶𝑟𝑃 𝑁 ʎ𝑖 = ∑ ∑ ∆𝑌 𝑊𝑙 λ𝑗 𝑗∄𝑖 𝑗=1 𝐾 𝑙=1 + ∑ ∑ ∆𝑋 𝑁𝑖 λ𝑗 𝑗∄𝑖 𝑗=1 𝐿 𝑖=1 Finally, the SNR of the optical carried on the wavelength ʎ𝑖 is: 𝑆𝑁𝑅λ𝑖 = 10log ( 𝑃𝑆𝑖𝑔𝑛𝑎𝑙 λ𝑖 𝑃𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘 λ𝑖 ) where, 𝑃𝑆𝑖𝑔𝑛𝑎𝑙 λ𝑖 is the optical power signal and 𝑃𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘 λ𝑖 is the crosstalk noise power. To point out the dangerous aspect of the crosstalk on the network, we evaluate the SNR and the crosstalk power in ONoC. Besides, we use CLAP tools for the simulation which developed by [9]. We expose the SNR and the power of the crosstalk noise according the size of the network and the number of wavelength used in ONoC. Precisely, we compare between the longest route and the shortest one. The Figure 4 (a) and (b) present the SNR and Crosstalk power noise according the size of the network for the number of ʎ equal 8 and 16 respectively. Notice that the crosstalk power noise slightly increase according the size of network, but the SNR decreases. Furthermore, it is clear that the crosstalk power noise is greater for the longest route than the shortest one, is due to the additive nature of crosstalk noise which it induces each time when they has cross in the route. Consequently, the crosstalk power noise accumulates through the optical route between source core and destination one. We notice that the SNR become so high when the number of wavelength employed in the network are increased.
  • 6. Int J Elec & Comp Eng ISSN: 2088-8708  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi) 4917 (a) number of ʎ=8 (b) number of ʎ=16 Figure 4. Crosstalk noise power and SNR according the network size We evaluate the crosstalk power noise and the SNR according the wavelength number employed in the ONoC as depict in the Figure 5. Particularly, we fixed the network size by 16x16 cores and we sweep wavelength number from 2 to 32. On account of the increase of the wavelength number, the crosstalk power noise takes an exponential progress. However, the SNR considerably decreases when the number of wavelength increase. Definitely, the presence of high number of optical signals in the same waveguide or optical component causes a direct effect to crosstalk induced in the corresponding wavelength. Finally, we conclude that the crosstalk noise became critical when we increase the wavelength number or the network size. Accordingly, detect and monitor crosstalk in ONoC became indispensable. Figure 5. Crosstalk noise and SNR according the number of wavelengths for 16x16 cores 4. CROSSTALK NOISE DETECTION AND MONITOR SYSTEM As mentioned before, crosstalk noise is serious obstacles to develop optical network on chip which the reliability and performance of the MPSoC will be curb. As a Result, find a system that has a capability to detect and monitor the crosstalk noise in ONoC is essential and vital. Mainly, this system must respect the following requirements:  Efficiency  Scalability  Facility to implement To detect and monitor crosstalk in ONoC, we propose the Crosstalk Detection and Monitor System (CDMS). The main idea of CDMS is to monitor continuously the different impairments (intra/inter crosstalk) in the whole network. To reach these objectives CDMS is composed by several Crosstalk Detection Bloc
  • 7.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921 4918 (CDB) distributed in the network according a special localization system as shown in the Figure 6. Furthermore, CDB is placed between two optical nodes in the same level which CDB splits the various optical signals passed through these optical routers. The process of function of CDB is given by the algorithm 1. First, we split the optical signals from the input/output of the appropriate optical nodes. To realize this operation we use, a photodetector module to convert the optical signals in electrical signals. Second, the different input/output signals passed to CDB which the process of detection and localization of the crosstalk noise launched. Third, a system of crosstalk classification begin to monitor and to classify the different detected impairments according the values of the crosstalk noises. Moreover, the crosstalk noises are classified on 3 types as shown in the Table 1. C0 C1 C2 C4 C5 C3 stage 1 stage 2 stage 4 C4 C5 stage 3 stage 5 CDB CDBCDB CDB CDB CDB Figure 6. Proposed Crosstalk Detection and Localization System in FONoC Table 1. Impertment alarms types Imperment Alarm Description No Crosstalk No detection of crosstalk (healthy signal) Acceptable Crosstalk 𝐶𝑟𝑃 𝑁 ʎ𝑖 ≤ 3.2 𝑑𝑏 && 𝐿 𝑁 ʎ𝑖 ∈ 𝑅 𝑢𝑝𝑝𝑒𝑟 ʎ𝑖 (𝑡ℎ𝑒 𝑢𝑝𝑝𝑒𝑟ℎ𝑎𝑙𝑓 𝑜𝑓 𝑡ℎ𝑒 𝑟𝑜𝑢𝑡𝑒) Dangenrous Crosstalk 𝐶𝑟𝑃 𝑁 ʎ𝑖 > 3.2 𝑑𝑏 ∀ 𝐿 𝑁 ʎ𝑖(𝑐𝑟𝑜𝑠𝑠𝑡𝑎𝑙𝑘 𝑙𝑜𝑐𝑎𝑡𝑖𝑜𝑛 ) OR 𝐶𝑟𝑃 𝑁 ʎ𝑖 ≤ 3.2 𝑑𝑏 && 𝐿 𝑁 ʎ𝑖 ∈ 𝑅𝑙𝑜𝑤𝑒𝑟 ʎ𝑖 (𝑡ℎ𝑒 𝑙𝑜𝑤𝑒𝑟ℎ𝑎𝑙𝑓 𝑜𝑓 𝑡ℎ𝑒 𝑟𝑜𝑢𝑡𝑒) The CDMS monitor the crosstalk noise by evaluate the status and features of the signal, which the classification of the crosstalk noise is essential. Indeed, when the crosstalk noise power is great than 3.2 db the crosstalk noise is classified as dangerous and we must generate an alarm whatever the localization of the optical component how responsible for it. Besides, this situation named Dangerous crosstalk level. However, when the crosstalk noise power is less than 3.2 db, we have two situation: 1. If the optical component how has the responsibility of this impairment is located in the upper-half route, and we classify this crosstalk noise as acceptable. Besides, the acceptable crosstalk level allow to the optical signal reach the destination without alarm but they must be examined. 2. If we detect the crosstalk noise at the lower-half route and alarm is generated and we classify this crosstalk as dangerous. Because, the probability to induce more crosstalk is high and extremely affect the optical signal.
  • 8. Int J Elec & Comp Eng ISSN: 2088-8708  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi) 4919 Algorithm 1. Crosstalk noise Monitoring FOR ʎi=1 to 32 IF (Crosstalk detection = 1) Then IF (𝐶𝑟𝑃 𝑁 ʎ𝑖 > 3.2 𝑑𝑏) Then Imperment Alarm = Dangerous Crosstalk Else IF (𝐶𝑟𝑃 𝑁 ʎ𝑖 ≤ 3.2 𝑑𝑏 && 𝐿 𝑁 ʎ𝑖 ∈ 𝑅𝑙𝑜𝑤𝑒𝑟 ʎ𝑖 ) Then Imperment Alarm = Dangerous Crosstalk Else IF (𝐶𝑟𝑃 𝑁 ʎ𝑖 ≤ 3.2 𝑑𝑏 && 𝐿 𝑁 ʎ𝑖 ∈ 𝑅 𝑢𝑝𝑝𝑒𝑟 ʎ𝑖 ) Then Imperment Alarm = Acceptable Crosstalk END IF Else IF (Crosstalk detection = 0) Then Imperment Alarm = No Crosstalk END IF END FOR To realize these operations in real-time function we design, simulate and implement the CDMS in RTL. Indeed, the inner architecture of the CDB shown in the Figure 7. Furthermore, CDB composes by several MRi to split the different optical signal ʎi which the number of MRi equal to the number of ʎ. Next, we used a photodetector to convert optical signal to electrical one and the number of the photodetectors are the numbers of ʎ. For the input signals passed to delay process to synchronize with the output signals. Finally, a system to detect the crosstalk noise presented with the complexity and the cost a directly related with the number of wavelength used. CDMS is a distributed system use the different informations collected from the CDB devices. We centralize these informations in the CDMS, which we proceed the monitoring of the crosstalk noise in the whole network. Moreover, the CDMS localize and classify the different crosstalk noises in objective to generate the appropriate alarms as depict in the Algorithm 1. MR1 MRn MRw OPwOPn OP1 Downstream (split signal) MRwMRnMR1 OP1 OPn OPw Upstream (split signal) Delay Process Crosstalk Detection Delay Process Crosstalk Detection Delay Process Crosstalk Detection Q Q S ET CLR D Q Q S ET CLR D - = = CrpTh1 CrpTh2 Alarm1 0 Alarm2 1 Alarm . . . . . . . . . Figure 7. Crosstalk Detection Block 5. RESULTS AND ANALYSIS To discuss the feasibility, the reliability, the scalability and the cost of our system, we simulate, synthesize and implement CDMS in FPGA. Furthermore, we used the STARTER Kit of Xilinx with the different simulation and syntheses tools (Project Navigator of Xilinx and ModelSim). Particularly, we selected SPARTAN-3E for our work [23]. We study the cost, the complexity and the scalability of CDMS according the size of the network and the number of the wavelengths used in ONoC. Besides, these parameters evaluate by the occupation area of the CDMS in the chip as shown in the Figure 8. We notice that the area occupation in the chip is the number of LUTs used on chip. Moreover, the total number of LUTs exponentially increases according the number of processor cores scales. Indeed, this evolution explained by the increase of the number of CDB
  • 9.  ISSN: 2088-8708 Int J Elec & Comp Eng, Vol. 8, No. 6, December 2018 : 4912 - 4921 4920 according the size of the network. In addition, we remark that the execution times increases smoothly as function as the number of cores which they reflect the high scalability of CDMS. In particular, the average of the exactions time is around 23 microseconds. As result, CDMS reach a real time function with a high scalability. Indeed, when the network has 2048 processor cores CDMS need less than 4000 LUTs and this value is 0.01% of the size of the chip and the execution time is less than 25 microseconds. The penalty from the photodetector operation slightly effect the real time function of the CDMS because all devices are implemented in the same chip and the conception of the CDMS boost the real time execution by a high RTL design. Figure 8. Complexity and scalability of CDLS in chip To better understanding the cost and the complexity of the CDMS, we explore the cost and the scalability of CDB according the number of the wavelength ʎi used in ONoC. The Figure 9 presents the complexity of the CDB define as the rate of the LuTs number over the wavelength number ʎ used in ONoC. Similarly, we present the flow of data process as performance and scalability of CDB. We realize that the complexity of CDB increase significantly when the number of ʎ is between 2 and 16 then this variation slightly stabilizes. Indeed, this progress of the complexity is due to the reuse of the hardware blocs, which the complexity rate is 64% for ʎ equal 16 than it is 62% for ʎ equal 32. Otherwise, we notice that the performance and scalability of CDB are mostly constant as function the number of wavelengths. As a result, the CDB offers a high scalability and performance with an appropriate complexity when the number of wavelengths exceeds 16. Figure 9. Complexity and Data flow of CDB 6. CONCLUSION The technology growth of transistor integration has now reached its limits. Moreover, this high transistor integration rate has pushed the semiconductor industry to shift from the single-core to a multi-core in one chip (MPSoC). One of the serious problems of the MPSoC is the communications between the
  • 10. Int J Elec & Comp Eng ISSN: 2088-8708  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip (Ahmed Jedidi) 4921 different processor’s cores. In this context, Network on Chip is a promote solution to solve this problem but is limited by the increased number of cores implemented on chip. Optical Network on Chip is a promotional solution that solves the problem of high rate of data exchange between cores with less energy consumption. However, in optical communication ONoC is affected by crosstalk noise, which is a major problem that hinders the achievement and maintenance of high performance. In fact, crosstalk noise deteriorates the quality of signals and hence degrades system’s performance. In this paper we proposed a new system to detect and monitor crosstalk noise in ONoC, which the contribution of this work if to offer the first completely system to detect and monitor alarms induced by crosstalk in ONoC. Particularly, we described the distributed architecture and the function of CDMS, also, we focused on the hardware design of CDB. Finally, we implemented and simulated our system to evaluate there performance. The results have demonstrated that, our system offers a high scalability with low rate of occupation in area of the chip as well as a real-time function with 23 microseconds as execution time. REFERENCES [1] E. Bonetto, et al., “Optical technologies can improve the energy efficiency of networks,” Proc. 35th Eur. Conf. Opt. Commun., pp. 1–4, 2009. [2] M. J. Cianchetti, et al., “Phastlane: A rapid transit optical routing network,” Proc. 36th Annu. ISCA, pp. 441–450, 2009. [3] L. Zhou, et al., “A Joint-Coding Scheme with Crosstalk Avoidance in Network on Chip,” TELKOMNIKA (Telecommunication Computing Electronics and Control), vol/issue: 11(1), 2013. [4] M. F. Chatmen, et al., “New Design of Network on Chip Based on Virtual Routers,” Indonesian Journal of Electrical Engineering and Computer Science(IJEECS), vol/issue: 2(1), pp. 115-131, 2016. [5] H. A. M. Harb, et al., “A Study of the Number of Wavelengths Impact in the Optical Burst Switching Core Node,” Proceeding of the Electrical Engineering Computer Science and Informatics, Yogyakarta, Indonesia, pp. 664-667, 2017. [6] A. Jedidi, et al., “Detection and localization of crosstalk in an all-optical network,” Journal of optics, vol/issue: 13(1), 2011. [7] Y. Xie, et al., “Crosstalk Noise Analysis and Optimization in 5x5 Hitless Silicon-Based Optical Router for Optical Networks-on-Chip (ONoC),” Journal of Lightwave Technology, vol/issue: 30(1), 2012. [8] F. Gambini, et al., “BER evaluation of a low-crosstalk silicon integrated multi-microring network-on-chip,” optics express journal, 2015. [9] M. Nikdast, et al., “Systematic analysis of crosstalk noise in folded-torus based optical networks-on-chip,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol/issue: 33(3), pp. 437–450, 2014. [10] M. Nikdast, et al., “Crosstalk Noise in WDM-based Optical Networks-on-Chip: a Formal Study and Comparison,” IEEE Transactions on Very Large Scale Integration Systems, vol/issue: 23(11), pp. 2552-2565, 2015. [11] Y. Xie, et al., “Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol/issue: 21(10), pp. 1823–1836, 2013. [12] Y. Xie, et al., “Crosstalk noise and bit error rate analysis for optical network-on-chip,” Proc. 47th ACM/IEEE DAC, pp. 657–660, 2010. [13] E. Fusella and A. Cilardo, “PhoNoCMap: an Application Mapping Tool for Photonic Networks-on-Chip,” Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016. [14] E. Fusella and A. Cilardo, “Crosstalk-Aware Automated Mapping for Optical Networks-on-Chip,” ACM Trans. Embed. Comput. Syst., vol/issue: 16(1), 2016. [15] J. Chan, et al., “Physical-layer modeling and system-level design of chip-scale photonic interconnection networks,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol/issue: 30(10), pp. 1507–1520, 2011. [16] W. Ding, et al., “Compact and low crosstalk waveguide crossing using impedance matched metamaterial,” Appl. Phys. Lett., vol/issue: 96(11), pp. 111114-1–111114-3, 2010. [17] Q. Li, et al., “Design and demonstration of compact, wide bandwidth coupled-resonator filters on a silicon-on- insulator platform,” Opt. Exp., vol/issue: 17(4), pp. 2247–2254, 2009. [18] D. Nikolova, et al., “Scaling silicon photonic switch fabrics for data center interconnection networks,” Opt. Express, vol/issue: 23(2), pp. 1159–1175, 2015. [19] M. Petracca, et al., “Design Exploration of Optical Interconnection Networks for Chip Multiprocessors,” HOTI, 2008. [20] L. H. K. Duong, et al., “A case study of signal-to-noise ratio in ring based optical networks-on-chip,” IEEE Des. Test Comput., vol/issue: 31(5), pp. 55–65, 2014. [21] A. Parini, et al., “BER evaluation of a passive SOI WDM router,” IEEE Photon. Technol. Lett., vol/issue: 25(23), pp. 2285–2288, 2013. [22] H. Gu, et al., “A Low-power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip,” DATE, 2009. [23] F. Xia, et al., “Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects,” Optical Express, vol/issue: 15(19), pp. 11934-11941, 2007.