SlideShare a Scribd company logo
1 of 9
Download to read offline
International Journal of Electrical and Computer Engineering (IJECE)
Vol. 8, No. 1, February 2018, pp. 412 – 420
ISSN: 2088-8708 412
Institute of Advanced Engineering and Science
w w w . i a e s j o u r n a l . c o m
A Unified Approach for Performance Degradation Analysis
from Transistor to Gate Level
Izhar Hussain, Marco Vacca, Fabrizio Riente, and Mariagrazia Graziano
Department of Electronics and Telecommunications , Politecnico di Torino, Italy
Article Info
Article history:
Received: Jul 25, 2017
Revised: Nov 9, 2017
Accepted: Dec 1, 2017
Keyword:
Scaling Process
Emerging Technologies
Web-based Services
Education
Fifth keyword
ABSTRACT
In this paper, we present an extensive analysis of the performance degradation in MOS-
FET based circuits. The physical effects that we consider are the random dopant fluctua-
tion (RDF), the oxide thickness fluctuation (OTF) and the Hot-carrier-Instability (HCI). The
work that we propose is based on two main key points: First, the performance degradation
is studied considering BULK, Silicon-On-Insulator (SOI) and Double Gate (DG) MOSFET
technologies. The analysis considers technology nodes from 45nm to 11nm. For the HCI ef-
fect we consider also the time-dependent evolution of the parameters of the circuit. Second,
the analysis is performed from transistor level to gate level. Models are used to evaluate the
variation of transistors key parameters, and how these variation affects performance at gate
level as well.The work here presented was obtained using TAMTAMS Web, an open and
publicly available framework for analysis of circuits based on transistors. The use of TAM-
TAMS Web greatly increases the value of this work, given that the analysis can be easily
extended and improved in both complexity and depth.
Copyright c 2018 Institute of Advanced Engineering and Science.
All rights reserved.
Corresponding Author:
Izhar Hussain
Department of Electronics and Telecommunications ,
Politecnico di Torino, Italy
ihussain899@gmail.com
1. INTRODUCTION
The MOSFET transistor has proven to be a very robust device. As testified by the ITRS Roadmap [1], its
size has been reduced from micrometers to nanometers over the course of the last four decades. The extraordinary
development of electronics is due mainly to this continuous physical scaling. Nowadays commercial devices employs
transistors that have a size of few tenth of nanometers [2]. A single chip can house billions of transistors [3]. Scaling at
such a fast pace eventually leads to increased variability and reliability issues, that pose a unique challenge for circuit
lifetime estimation [4].
1.1. State of the art analysis
Variations can be categorized into two main types, depending on their source of origin; process- induced
variation and intrinsic fluctuations [5]. Intrinsic variability and reliability such as Oxide Thickness Fluctuation (OTF)
and Random Dopant Fluctuation (RDF), are induced by charges and geometrical fluctuations at atomic scale level.
These variations and reliability issues are unique to device structure and their effects may be different for different
CMOS devices. On the other hand, process-induced variations are caused by defects during silicon fabrication, which
may be particular to a process in a foundry. In addition, transistor performance not only depends upon static process
variations, but transistors parameters also start to degrade over time. This individual device degradation, named aging,
affects the circuit performance metrics over a period of time. These effects are, as an example, Negative Bias Temper-
ature Instability (NBTI), Hot-carrier-Instability (HCI) and Time Dependent Dielectric Breakdown (TDDB) [6][7][8].
Such performance limiting mechanisms are being investigated since three decades, but they are more pronounced in
the nano-scale regime now and are inevitable for design consideration as the equivalent oxide thickness scales down
as low as 5 Angstrom in the future [9]. These intrinsic variations and aging parameters are limited by fundamental
Journal Homepage: http://iaescore.com/journals/index.php/IJECE
Institute of Advanced Engineering and Science
w w w . i a e s j o u r n a l . c o m
, DOI: 10.11591/ijece.v8i1.pp412-420
IJECE ISSN: 2088-8708 413
device physics, making it one of the ultimate bottleneck for CMOS design process and continual technology scaling.
As the device size approaches to atomic dimensions,the intrinsic variability and reliability considerations have become
integral part of CMOS circuit design by the technologists. The most notable change that these effects have on a tran-
sistor is a shift in the value of threshold voltage (Vth) and mobility (µ). As a consequence, reliability issues leads to
performance degradation and eventually to the failure of devices. While the effects of variations on a single transistor
are well known and studied, it is more difficult to understand their impact at logic gate level. In [10] a reliability
analysis was performed on a static RAM memory.
Transistor with planar and simpler structures have been extensively studied over the past decades. Reliability
analysis of complex structures and advances devices has also been presented in literature. For example, the work
presented in [11] focuses on junctionless Fet transistors, while in [12] authors analyze sub-20 nm asymmetric DG
devices. In [13] Shashi Kant Dargar performed degradation analysis of GaN Based Thin Film Transistors. Finally,
given that many technologies are currently studied as possible MOSFET replacement, reliability analysis has been
conducted also on emerging technologies. For example in [14] authors studies effect of device variables on surface
potential and threshold voltage in Double gate graphene FET (DG-GNRFET). Instead in [15] authors focus on carbon
nanotube FETs.
1.2. Motivation of the work
The aim of this paper is to analyze in a coherent way the impact of reliability on the transistor’s performance.
Particularly, we are interested to analyze the performance degradation due to the Oxide Thickness Fluctuation (OTF),
Random Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI). The novelty of our approach is that we consider
and evaluate the effect of these issues starting from the transistor level up to gate level together.
• The E. Maricau [7] and Yu Cao [4][9] models are used for the Oxide Thickness Fluctuation (OTF), Random
Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI), to evaluate the variation of threshold voltage (Vth)
and mobility (µ). The consequent change in the drive current (Ion) are evaluated.
• The variation of Vth, µ and Ion has been analyzed considering three different types of MOSFETs: BULK,
Silicon-On-Insulator (SOI) and Double Gate (DG) considering the technology scaling. Technology nodes from
45nm to 11nm are studied.
• For the HCI effect [7][9], both the trend with technology scaling and the time-dependent evolution are studied.
• A model to estimate the performance of standard logic gates, both in terms of timing and dynamic power, was
developed. The model takes into account the variation of the parameters of transistors, therefore it is possible to
evaluate the impact of reliability at logic gate level.
• Using a NAND gate as a testbench, the variation of timing and dynamic power considering both the technology
scaling and the time dependent analysis (for the HCI effect) are carried on. The analysis is repeated for all the
three types of transistors.
2. SIMULATION TOOL
Among the performance estimation tools, MASTAR is the best-known [16]. It is used by the International
Roadmap for Semiconductors [1] to forecast future transistors performance. Unfortunately, MASTAR limits the anal-
ysis at the device level. To explore system level parameters, another tool named BACPAC [17] can be used. It includes
a large set of analytical models to estimate and predict the performance of future VLSI circuits. However, both these
tools present some limitations. They are restricted to one abstraction level, that can be the device, the gate or system
level. Moreover, they are focused on MOSFET technology and do not consider emerging devices. To overcome these
limitations and enable the performance estimation of electronic circuits from device to system level, we developed
TAMTAMS (Torino Assessment of Mos Technology and Advanced perforMance of System Calculator). TAMTAMS
is a web-based tool, presented in [18], that combines the device level analysis available in MASTAR with the system
level analysis provided by BACPAC. TAMTAMS is developed by the VLSI group at Politecnico di Torino and it is
constantly evolving. Currently, it is used as teaching tool in the master level course Integrated System Technology for
the Electronics Engineering Master degree held at Politecnico di Torino.
TAMTAMS includes many models and technologies. Its flexibility and modularity eases the extension of new modules
and new technologies. At the time of writing, CMOS technology is fully supported. It includes BULK, SOI and double
gate (DG) devices. Within digital electronic circuits, three abstraction level can be identified: i) device level, ii) gate
level, iii) system level. The TAMTAMS structure is summarized in Fig. 1. It is built considering this three-layered
A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
414 ISSN: 2088-8708
Figure 1. TAMTAMS analysis flow. Three kind of analysis are possible: device level, gate level and system level.
Device and system level parameters are used according to the kind of investigation selected by the user. Computed
results are reported in a textual or graphical form.
structure. In the lowest level of abstraction, device level models can be found. To this category belong threshold
voltage, mobility and current models. Implemented models are specific for the selected technology (BULK, SOI,
DG). The physical characteristics of a transistor, such as gate length, the gate oxide thickness, etc.., are grouped in the
device parameters. Moving to a higher level of abstraction, a gate level analysis can be executed. Here NAND/AND,
Flip-Flop models are available. The analysis starts from device level modules, whose results are used by gate level
models.
System level analysis is based on a set of technology independent parameters. They can be the total number of tran-
sistors, the percentage of memory and logic within a digital circuit. Another important feature is that TAMTAMS
automatically solves and keep track of all the module dependencies. According to the analysis selected by the user,
the dependency tree is analyzed and the proper models are solved. Computed results are reported in a textual or graph-
ical form. Thus, data can also be post-processed by using external tools.
In addition, TAMTAMS supports parametric analysis, i.e. device or system level parameters can be varied to under-
stand their impact at different abstraction levels. Indeed, this approach has been exploited in paper to analyze the
effect of threshold voltage degradation with time.
3. TRANSISTOR PERFORMANCE DEGRADATION
3.1. Description of Models
This work focuses on the prediction of performance degradation as the technology scales down, both at
transistor level as well at circuit level. Predictive technology models for reliability aware transistor to circuit design
analysis were considered. The models are scalable with wide range of technologies and process variations [7][4][9].
Variation in threshold voltage Vth and carrier mobility µ at device level provides the basis for the performance degra-
dation analysis at circuit level. In the next sections, static and time-dependent models are described before presenting
the obtained results.
3.1.1. Static variability model
The primary intrinsic variations are Random Dopant Fluctuation (RDF) and Oxide Thickness Fluctuation
(OTF).
The RDF phenomena arises due to variation of impurity concentration during ion implantation process. RDF
is more pronounced in smaller transistors as the technology scales down. Because of lower doping concentration,
addition or deletion of a few impurity atoms can significantly change transistor properties, especially the Vth. The
physics based model, as stated in [4] is given by:
∆Vth(RDF ) =
q
CINV
NchWdep
3WL
× 1.2 (1)
where W, L, Nch, Wdep are the channel width, channel length, channel doping and depletion width, respectively. A
more explicit expression is obtained by expanding the Wdep term and ignoring other second order terms. Equation 1
IJECE Vol. 8, No. 1, February 2018: 412 – 420
IJECE ISSN: 2088-8708 415
becomes:
∆Vth(RDF ) = C1
q
√
3WL
toxe
εox
2εSiNch
q
1/4
(2)
where C1 is a fitting parameter accounting for surface potential. The other parameters, toxe, εSi, εox and q are the
equivalent oxide thickness, permittivity of silicon, permittivity of the oxide layer, and elementary charge, respectively.
The above equation suggests that RDF induced variation is directly proportional to toxe and Nch
0.25
.
The OTF phenomena arises due to surface roughness between silicon and gate oxide interface at atomistic
level. As Vth is directly proportional to gate oxide thickness Tox, any fluctuation in oxide thickness leads to change
in Vth. This effect is even more highlighted when the gate oxide thickness approaches atomic dimensions [4]. The
threshold voltage variation due to oxide thickness fluctuation can be expressed as:
∆Vth(OT F ) = C4
√
qNchεSiq
εox
λ
√
2WL
∆H, (3)
where C4 is the only fitting parameter. ∆H represents the minimum possible magnitude of OTF, that is the height of
one silicon atom layer which is equal to 2.71 ˚A. The correlation length λ of OTF typically ranges between 1-3nm, as
reported in [4].
3.1.2. Time-dependent reliability model
As mentioned, many effects can degrade the device performance over time. In the following, the Hot-Carrier-
Instability (HCI) aging mechanism and its corresponding model are described. HCI manifests itself as an increase in
the threshold voltage Vth and carrier mobility µ, especially for the NMOS transistor [9][6][7]. The HCI model is
based on the classical Reaction-Diffusion (R-D) model [6]. HCI mechanism can be physically described as generation
of charges at the Si − SiO2 interface. HCI model shows power law dependence with time t. As reported in [7][9],
analytical model for shift in Vth and µ as a function of stress, operating condition and device parameters can be
expressed as:
∆Vth(HCI) = A[(Vgs − Vth)Kv]nx+1/nx
(
nxt
L
)
1
1+nx , (4)
where
Kv = exp(
Eox
Eo
)exp(
−φt
qλEm
)(
−Ea
KT
), Em =
Vds − Vdsat
l
, l = 0.2(Tox)0.33
(Xj)0.5
,
Vdsat =
Leff Esat(Vgs − Vth)
Leff Esat + (Vgs − Vth)
, Eox =
Vgs − Vth
Tox
, Cox =
εox
Tox
, Vt =
KT
q
.
The increase in concentration of interface charge Nit also result in increase of mobility µ. The mobility degradation
is given by:
µ =
µeff
(1 + αNit)m
, α = 5, m = 1.6, (5)
Default values of the technology independent model coefficients as stated in [7][9] are shown in Table 1.
Table 1. HCI Model parameters
A 1.5e-5 vsat (m/s) 1e5
nx 1.21 Φt (eV) 3.7
q (C) 1.6e-19 E0 (V/m) 0.71e8
λ(m) 7.8e-9 Θ (V) 0.95
Ea - 0.06 K (J/k) 1.38e-23
where nx, E0, Ea and A are process parameters, φit is the critical electron energy for generating an interface
trap, Em is maximum channel electric field which occurs at the drain end of the channel, l is the pinch off length, λ is
hot electrons mean free path, Eox is electrical field across oxide, Vt is the thermal voltage at room temperature and t
is stress time given in seconds [7] [9]. These performance degradation models have been integrated in TAMTAMS.
A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
416 ISSN: 2088-8708
!
"
#!
#"
$!
$"
%"&'( )*&'(& )$&'(& $+&'(& $"&'( $$&'(
,-./&012
345'6&78&-./&6594:6:.;7'&;'&
<=>?&@5A;B5&CB:>;'9&&
DEF G3H I@H E7(J;'56
!
"
#
$
%
&
'
(
)
*
#!+,-+ ")+,-+ "'+,- "%+,- "$+,-
./01+234
567,8+9:+/01+87;6<8<0=9,+=,+
>?@+A7B=C7+>C<D=,;++
EF@ ?5G HAG F9-I=,78
!
"
#
$
%
&
'
(
)
*
"!
#!+,- ")+,-+ "'+,-+ "%+,- "$+,- ""+,-
./01+234
567,8+9:+/01++87;6<8<0=9,+=,+
>?+>7@=A7+BA<C=,;++
DEF G5H I>H E9-J=,78
!
"
#
$
%
&
'
(
%&)*+ $()*+) $#)*+) #,)*+) #&)*+ ##)*+
-.)/01
234*5)67).)5483959:;6*);*)
<=>?)@4A;B4)CB9>;*8))
DEF G2H I@H E6+J;*45
!
"
#
$
%
&
'
#!()*( "+()*( "'()* "%()* "$()*
,-(./0
123)4(56(-(43728489:5)(:)(
;<=(>3?:@3(;@8A:)7((
BC= <1D E>D C5*F:)34
!
"
#
$
%
&!
&"
&#
&$
"!'() &%'()' &$'()' &#'() &*'() &&'()
+,'-./
012(3'45','3261737894('9('
:;':2<9=2'>=7?9(6''
@AB C0D E:D A4)F9(23
!
"
#
$
%
&!
&"
&#
&$
&%
#'()* +,()*( +"()*( "%()*( "'()* ""()*
-./)(012
345)6(/7(./)(6584969:;/)(;)(
<=>?(@5A;B5(CB9>;)8((
DE. F3G H@G E/*I;)56
!
"
#
$
%
&
'
(
)
*
#!+,-+ ")+,-+ "'+,- "%+,- "$+,-
./0,+123
456,7+08+/0,+7695:7:;<0,+<,+
=>/+?6@<A6+=A:B<,9++
CD/ >4E F?E D0-G<,67
!
"
#
$
%
&!
&"
&#
&$
"!'() &%'()' &$'()' &#'() &*'() &&'()
+,-('./0
123(4'-5',-('436274789-('9(':;':3<9=3'
>=7?9(6''
@A, B1C D:C A-)E9(34
A) B) C)
D) E) F)
G) H) I)
Figure 2. Trend of Vth , µ and Ion degradation on BULK, SOI and DG device scaling. A) Thresold voltage, D)
Mobility and G) Drive current percentage degradation for BULK technology. B) Thresold voltage, E) Mobility and
H) Drive current percentage degradation for SOI technology. C) Thresold voltage, F) Mobility and I) Drive current
percentage degradation for DG technology. For each case, the variation considering HCI, OTF and RDF are reported
alongside to the combined effect of all these contributions together.
Figure 3. a)First Row: HCI induced performance degradation vs stress time for LOP 20 nm node for 3 different
technologies. A) Threshold voltage, B) Mobility and C) Drive current percentage degradation. 3. b) Second Row:
NAND2 gate time delay and dynamic power percentage degradation as a function of stress time ’t’ for LOP 20 nm
BULK, SOI and DG technologies. A) Delay variation. B) Dynamic power variation.
IJECE Vol. 8, No. 1, February 2018: 412 – 420
IJECE ISSN: 2088-8708 417
3.2. Results (Device level)
In this section we discuss and analyze the performance degradation at device level relating to three different
types of technologies, i.e. BULK, SOI and DG. The choice of different technologies not only contribute to different
structures but also follow their scaling trend, e.g. the first node of LOP BULK technology analyzed is 45 nm and last
node of LOP DG technology scales down to 11 nm. Two kind of analysis are considered: First analysis considers
technology scaling across multiple types of transistors and nodes. Second one is a parametric analysis that focuses on
one technology node and studies the variation in transistor’s parameters like Vth , µ and Ion with time.
Fig. 2 shows the scaling trend for OTF, RDF and HCI induced degradation for Low operating Power (LOP)
BULK, SOI and DG transistor technologies. It is to be noted that both static and dynamic models are analyzed together,
because according to Alam [19] spatial and dynamic variations should be considered within the same framework. In
Fig. 2, technologies are listed column-wise with BULK technology in Fig. 2.A-D-G, SOI in Fig. 2.B-E-H and DG
in Fig. 2.C-F-I. The shift in Vth , µ and Ion are plotted in rows with Vth in the first row, µ in the second row and
Ion third row. All variations are shown in relative units (%) and represent how much each quantity (Vth, µ and
Ion) varies comparing to their nominal values. For each case, the variation considering HCI, OTF and RDF are
reported alongside the combined effect of all these contributions together. The larger picture bring in some interesting
observations. Relative variations are maximum for BULK technology nodes. As expected, the scaling trend increases
within the framework of same technology for all the three types of devices. Considering static models, RDF remains
the major source of Vth, µ and Ion variability, as indicated by [4], with maximum Vth relative increase recorded as
12% for first node , i.e. LOP BULK 45 nm node (Fig. 2A), and maximum relative decrease in µ and Ion recorded
as 9% for the last node, i.e. LOP 11nm DG technology node (Fig. 2F and 2I). Considering time-dependent model,it
is interesting to note that HCI induced degradation is larger for SOI devices than DG. The reason is, in DG case the
carriers flow close to the center of the device where the potential fluctuations introduced by the trapped charges are
relatively small, resulting in a lower variability compared the SOI device where the transport occurs close to the top
interface and device is more affected by potential fluctuations [20].
Fig. 3.a) depicts the variations of Vth, µ and Ion at room temperature with increasing time. Fig. 3.a) shows
HCI induced time-dependent variations Vth, µ and Ion remains below 1% for all the nodes under consideration, for
a period of 103
stress seconds. It can be seen in Fig. 3.a) that as the devices are exposed to longer period of stress
time ts , from 103
to 105
the degradation becomes more severe. Fig. 3.a) shows the performance degradation as
function of stress time ts, considering LOP 20 nm as reference node for all the three technologies. BULK devices are
more affected as compare to the other two. For BULK, degradation in Vth is 0.5% at ts = 103
, it increases to 2% at
ts = 104
, and it reaches 6.35% at ts = 105
(Fig. 3a.A). Similarly, maximum degradation in Vth of 6.04% and 4.63%
are recorded for SOI and DG technology respectively at ts = 105
(Fig. 3a.A). According to Alam [19], time-induced
variation can be larger than the nominal degradation by more than 34% in 3 years lifetime. It has been observed that
nominal shift remains near constant throughout different technologies. This is mainly due to relaxed oxide scaling but
relative magnitude of Vth variation increases with technology scaling.
4. FROM DEVICE LEVEL TO GATE LEVEL
After detailed analysis of degradation of performance metrics at device level arising from intrinsic fluctuation
and charge trapping, we have observed how the primary performance parameters like Vth and µ are affected. In the
following, we consider their impact at gate level.
4.1. Description of NAND gate model
Reliability aware gate level analysis is interesting in a way that NAND gate is considered as universal building
block for all high level CMOS circuits. Since the threshold voltage directly affects the delay of a digital gate, the
operating frequency of the gate degrades, which in-turn affect the dynamic power consumption [21]. Mathematically
putting:
τ = f(Vth), Frequency, F = 1/τ, Dynamic Power, Pdyn = f(F).
The delay analysis of the NAND gate is implemented exploiting the Elmore Delay model [22], considering
its easy implementation and optimistic results. Here, the shift in Vth is translated into an increase in resistance that
affects the time delay. On the other hand, the dynamic power consumption for NAND2 gate is estimated considering
the probabilistic model that can be expressed as:
Dynamic Power, Pdyn =
1
2
f C α V 2
dd [W],
A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
418 ISSN: 2088-8708
!
"
#
$
%
&!
&"
&#
&$
&%
#'()* +,()*( +"()*( "%()*( "'()* ""()*
-./
01234(5657"(78)9*:;(<=>?@(ABC(
B;9D:)E((
FGH IJK L7K G=*M:)?N
!
"
#
$
%
&!
&"
&#
&$
&%
"!
#'()* +,()*( +"()*( "%()*( "'()* ""()*
-./
01234(5657"(789:;(
<=>(=?:9@)A((
BCD EFG H7G CI*J@)8K
!
"
#
$
%
&!
&"
&#
"!'()' &%'()' &$'() &#'() &*'()
+,-
./01'2324"'45678'
9:;':<76=(>''
?@0 /AB C4B @D)E=(5F
!
"
#
$
%
&!
&"
&#
&$
"!'() &%'()' &$'()' &#'() &*'() &&'()
+,-
./0'121."'.3456'
789'8:54;(<''
=>? @AB C.B >D)E;(3F
!
"
#
$
%
&!
&"
"!'()' &%'()' &$'() &#'() &*'()
+,-
./01'2324"'45(6)78'9:;<='
>?@''?86A7(B''
CD0 /EF G4F D:)H7(<I
!
"
#
$
%
&!
&"
&#
&$
"!'() &%'()' &$'()' &#'() &*'() &&'()
+,-
./0'121."'.3(4)56'789:;'
<=>''=64?5(@''
ABC DEF G.F B8)H5(:I
A) B) C)
D) E) F)
Figure 4. NAND2 gate time delay and dynamic power percentage variation trend with BULK, SOI and DG scaling. A)
and D) depicts respectively delay and dynamic power variation for BULK technology. B) and E) depicts respectively
delay and dynamic power variation for SOI technology. C) and F) depicts respectively delay and dynamic power
variation for DG technology.
where α is the switching activity, f is the frequency and C is the total capacitance. In order to get simplified analysis,
two assumptions were made: i) The input probability is always considered as 0.5 . ii) The computation of the switching
activity for every single node is based on a probabilistic model. Further details on the model are not reported for space
reasons. Considering reliability degradation, we assume all other parameters in above equation are unaffected by shift
in Vth; thus Pdyn becomes the function of frequency only. The frequency change [∆F/F] in a circuit is measured
as a direct measure of the degradation, which is proportional to Vth change under HCI [21].
4.2. Results (Gate level)
Fig. 4 shows degradation scaling trend of time delay and dynamic power for 2-input NAND gate. Gate
delay increases due to the variation, while maximum dynamic power decrease accordingly. It is possible to observe
a projection of the results analyzed at device level. BULK transistor based NAND gate is more exposed to static and
dynamic degradation when compared to the other technologies (see Fig. 4.A and Fig. 4.D). The change in Vth directly
affects the time delay in a digital gate [21], so the effect is more monotonic with the trend of Vth degradation scaling
plots. Dynamic power degradation trend is similar to the delay, because dynamic power depends upon the operating
frequency which is the inverse of critical path delay. As at device level analysis, RDF is contributing the major part
of total degradation. In Fig. 4, it is interesting to see that HCI induced degradation is higher for SOI technology [20],
with maximum time delay and dynamic power degradation reported as 5.3 % and 5.11 % respectively for LOP 18 nm
node at stress time ts = 103
(Fig. 4B and 4E), as compared to [0.56%, 0.56%] for same LOP 18 nm DG technology
(Fig.4C and 4E). This shows, how the relative degradation is affected not only due to scaling of transistors, but with
device structure as well [20].
Temporal shift of gate level performance degradation parameters are shown in Fig. 3b.A) (delay) and Fig. 3b.B)
(maximum dynamic power). Degradation in dynamic power is the replication of time delay plots as expected, with
the former plotted as % decrease. HCI induced degradation increases with increase in stress time, validating the ac-
curacy of integrated modules. Maximum relative variation estimated for time delay is 5.5 % for 20 nm LOP BULK
technology as compared to 5 and 4.3 % for SOI and DG technologies (Fig. 3.bA). In order to mitigate the impact of
HCI induced variations at gate level, Vdd tuning and PMOS sizing are the most effective techniques. The authors in
[23] shows that as much as 12 % oversizing of gate is needed across five years of operations.
5. CONCLUSIONS
In this paper we have presented a detailed analysis of MOSFET performance degradation considering the
effects of Oxide Thickness Fluctuation (OTF), Random Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI).
IJECE Vol. 8, No. 1, February 2018: 412 – 420
IJECE ISSN: 2088-8708 419
The work here described is unique in its genre because it does a coherent analysis from transistor to circuit level. The
analysis is carried on considering several types of transistor, considering the technology scaling and for the HCI effect,
considering also the time evolution. The work was carried on under the TAMTAMS framework, an open tool designed
to help researchers and student to understand technologies based on transistors.
As a future work, we are extending our analysis to system level by introducing digital circuits like adders,
multipliers,FPGA, ALU , FIR filter models and different other chip layouts in the analysis as well. Secondly, additional
effects that degrades the performance of transistors, like NBTI and electromigration would be interesting to analyze.
We are also adding more models to the tool and more technologies, extending the analysis to emerging technologies
and Post-Si devices.
REFERENCES
[1] “International Technology Roadmap of Semiconductors,” 2015, http://www.itrs2.net/.
[2] C. H. Jan, F. Al-amoody, H. Y. Chang, T. Chang, Y. W. Chen, N. Dias, W. Hafez, D. Ingerly, M. Jang, E. Karl,
S. K. Y. Shi, K. Komeyli, H. Kilambi, A. Kumar, K. Byon, C. G. Lee, J. Lee, T. Leo, P. C. Liu, N. Nidhi, R. Olac-
vaw, C. Petersburg, K. Phoa, C. Prasad, C. Quincy, R. Ramaswamy, T. Rana, L. Rockford, A. Subramaniam,
C. Tsai, P. Vandervoorn, L. Yang, A. Zainuddin, and P. Bai, “A 14 nm soc platform technology featuring 2nd
generation tri-gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 sram cells, optimized for
low power, high performance and high density soc products,” in 2015 Symposium on VLSI Technology (VLSI
Technology), June 2015, pp. T12–T13.
[3] NVIDIA. [Online]. Available: http://www.nvidia.com/object/gpu-architecture.html
[4] J. Velamala, C. Wang, R. Zheng, Y. Ye, and Y. Cao, Intrinsic variability and reliability in nano-CMOS, 4th ed.,
2011, vol. 35, pp. 353–367.
[5] K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J. Pearson, and
N. J. Rohrer, “High-performance cmos variability in the 65-nm regime and beyond,” IBM Journal of Research
and Development, vol. 50, no. 4.5, pp. 433–449, July 2006.
[6] H. Kufluoglu and M. A. Alam, “A geometrical unification of the theories of nbti and hci time-exponents and its
implications for ultra-scaled planar and surround-gate mosfets,” in IEDM Technical Digest. IEEE International
Electron Devices Meeting, 2004., Dec 2004, pp. 113–116.
[7] E. Maricau, P. D. Wit, and G. Gielen, “An analytical model for hot carrier degradation in nanoscale cmos suitable
for the simulation of degradation in analog ic applications,” Microelectronics Reliability, vol. 48, no. 89, pp.
1576 – 1580, 2008, 19th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis
(ESREF 2008).
[8] C. Zhuo, K. Chopra, D. Sylvester, and D. Blaauw, “Process variation and temperature-aware full chip oxide
breakdown reliability analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Sys-
tems, vol. 30, no. 9, pp. 1321–1334, Sept 2011.
[9] W. Wang, V. Reddy, A. T. Krishnan, R. Vattikonda, S. Krishnan, and Y. Cao, “Compact modeling and simulation
of circuit reliability for 65-nm cmos technology,” IEEE Transactions on Device and Materials Reliability, vol. 7,
no. 4, pp. 509–517, Dec 2007.
[10] Y. Wang, S. Cotofana, and L. Fang, “Analysis of the impact of spatial and temporal variations on the stability
of {SRAM} arrays and the mitigation technique using independent-gate devices,” Journal of Parallel and
Distributed Computing, vol. 74, no. 6, pp. 2521 – 2529, 2014, computing with Future Nanotechnology. [Online].
Available: http://www.sciencedirect.com/science/article/pii/S0743731513001354
[11] Riyadi, M. A, Sukawati, I. D, Prakoso, Teguh, and Darjat, “Influence of gate material and process on junctionless
fet subthreshold performance,” International Journal of Electrical and Computer Engineering, vol. vol.6, 2016.
[12] Jung, H. Kee, Dimitrijev, and Sima, “The impact of tunneling on the subthreshold swing in sub-20 nm asymmet-
ric double gate mosfets,” International Journal of Electrical and Computer Engineering, vol. vol.6, 2016.
[13] Dargar, S. Kant, Srivastava, JK, Bharti, Santosh, Nyati, and Abha, “Performance evaluation of gan based thin
film transistor using tcad simulation,” International Journal of Electrical and Computer Engineering (IJECE),
vol. vol.7, pp. 144–151, 2017.
[14] B. Mehrdel, A. A. Aziz, Ghadiri, and M. Hossein, “Effect of device variables on surface potential and threshold
voltage in dg- gnrfet,” International Journal of Electrical and Computer Engineering, vol. vol. 5, 2015.
[15] B. Srinivasu and K. Sridharan, “Reliability analysis of full adder in schottky barrier carbon nanotube fet technol-
ogy,” in 14th IEEE International Conference on Nanotechnology, Aug 2014, pp. 274–277.
[16] ST-Microelectronics, “MASTAR (Model for Assesment of CMOS Technologies And Roadmaps),” available on
ITRS website.
A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
420 ISSN: 2088-8708
[17] D. Sylvester and K. Keutzer, “System Level Performance Modeling with BACPAC, Berkeley Advanced Chip
Performance Calculator,” proc. of IEEE SLIP, pp. 109–114, 1999.
[18] F. Riente, I. Hussain, M. R. Roch, and M. Vacca, “Understanding cmos technology through tamtams web,” IEEE
Transactions on Emerging Topics in Computing, vol. 4, no. 3, pp. 392–403, July 2016.
[19] K. Kang, S. P. Park, K. Roy, and M. A. Alam, “Estimation of statistical variation in temporal nbti degradation
and its impact on lifetime circuit performance,” in 2007 IEEE/ACM International Conference on Computer-Aided
Design, Nov 2007, pp. 730–734.
[20] B. Cheng, A. R. Brown, S. Roy, and A. Asenov, “Pbti/nbti-related variability in tb-soi and dg mosfets,” IEEE
Electron Device Letters, vol. 31, no. 5, pp. 408 – 410, May 2010.
[21] R. Reis, Y. Cao, and G. Wirth, Circuit Design for Reliability. Springer New York, 2016.
[22] R. Gupta, B. Tutuianu, and L. T. Pileggi, “The elmore delay as a bound for rc trees with generalized input
signals,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 1, pp.
95–104, Jan 1997.
[23] R. Vattikonda, W. Wang, and Y. Cao, “Modeling and minimization of pmos nbti effect for robust nanometer
design,” in 2006 43rd ACM/IEEE Design Automation Conference, July 2006, pp. 1047–1052.
BIOGRAPHIES OF AUTHORS
Izhar Hussain received his B.Sc. degree in Electronics Engineering from UET Peshawar, Pakistan
in 2008. He received his M.Sc. degree in Nanotechnologies for ICTs in October 2014 from Po-
litecnico di Torino, Italy and enrolled in the same university as Ph.D. student in November 2014.
Since then, he has been active in research activities with VLSI group in the Department of Elec-
tronics and Telecommunications, Politecnico di Torino. His research area includes Modelling and
Characterization of CMOS nano-transistors and emerging devices.
Marco Vacca Marco Vacca received the Dr.Eng. degree in electronics engineering from the Po-
litecnico di Torino, Turin, Italy, in 2008. In 2013, he got the Ph.D. degree in electronics and com-
munication engineering. He is currently working as an Assistant Professor in the Politecnico di
Torino. Since 2010, he has been teaching Design of Digital Circuits and Power Electronics. His
research interests include quantum-dot cellular automata and others beyond-CMOS technologies.
Fabrizio Riente received the M.Sc. (Hons.) (magna cum laude) degree in electronics engineer-
ing from the Politecnico di Torino, in 2012, where he is currently pursuing the Ph.D. degree with
the Department of Elec-tronics and Telecommunications. His primary research interests are device
modeling, circuit design for nanocomputing, with a particular interest in magnetic QCA, and sil-
icon nanowire technologies. His interest also covers the development of EDA tool for emerging
technologies, with the main focus on the physical design.
Mariagrazia Graziano received the Dr.Eng. and the PhD degrees in Electronics Engineering from
the Politecnico di Torino, Italy, in 1997 and 2001, respectively. Since 2002, she is a researcher and
since 2005, an assistant professor at the Politecnico di Torino. Since 2008, she is adjunct faculty at
the University of Illinois at Chicago and since 2014 she is a Marie-Skodowska-Curie Intra-European
Fellow at the London Centre for Nanotechnology. Her research interests include design of CMOS
and beyond CMOS devices, circuits and architectures.She is author and coauthor of more than 120
published works. She is a Member of the IEEE since 2007.
IJECE Vol. 8, No. 1, February 2018: 412 – 420

More Related Content

What's hot

EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3
EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3
EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3ijwmn
 
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...IJECEIAES
 
Performance Evaluation using STP Across Layer 2 VLANs
Performance Evaluation using STP Across Layer 2 VLANsPerformance Evaluation using STP Across Layer 2 VLANs
Performance Evaluation using STP Across Layer 2 VLANsijcnesiir
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
Self-switching diodes as RF rectifiers: evaluation methods and current progress
Self-switching diodes as RF rectifiers: evaluation methods and current progressSelf-switching diodes as RF rectifiers: evaluation methods and current progress
Self-switching diodes as RF rectifiers: evaluation methods and current progressjournalBEEI
 
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKS
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKSANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKS
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKSIJEEE
 
Functional Verification of Large-integers Circuits using a Cosimulation-base...
Functional Verification of Large-integers Circuits using a  Cosimulation-base...Functional Verification of Large-integers Circuits using a  Cosimulation-base...
Functional Verification of Large-integers Circuits using a Cosimulation-base...IJECEIAES
 
Iedm 2012 techprogram
Iedm 2012 techprogramIedm 2012 techprogram
Iedm 2012 techprogramhquynh
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded srameSAT Publishing House
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded srameSAT Journals
 
A survey report on mapping of networks
A survey report on mapping of networksA survey report on mapping of networks
A survey report on mapping of networksIRJET Journal
 
Measurement of end to end delays in ad hoc 802
Measurement of end to end delays in ad hoc 802Measurement of end to end delays in ad hoc 802
Measurement of end to end delays in ad hoc 802IAEME Publication
 
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET Journal
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete uploadHoopeer Hoopeer
 

What's hot (17)

Cg34503507
Cg34503507Cg34503507
Cg34503507
 
EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3
EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3
EFFECTS OF MAC PARAMETERS ON THE PERFORMANCE OF IEEE 802.11 DCF IN NS-3
 
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...
Analysing Mobile Random Early Detection for Congestion Control in Mobile Ad-h...
 
Performance Evaluation using STP Across Layer 2 VLANs
Performance Evaluation using STP Across Layer 2 VLANsPerformance Evaluation using STP Across Layer 2 VLANs
Performance Evaluation using STP Across Layer 2 VLANs
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Self-switching diodes as RF rectifiers: evaluation methods and current progress
Self-switching diodes as RF rectifiers: evaluation methods and current progressSelf-switching diodes as RF rectifiers: evaluation methods and current progress
Self-switching diodes as RF rectifiers: evaluation methods and current progress
 
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKS
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKSANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKS
ANALYSIS AND STUDY OF MATHEMATICAL MODELS FOR RWA PROBLEM IN OPTICAL NETWORKS
 
Functional Verification of Large-integers Circuits using a Cosimulation-base...
Functional Verification of Large-integers Circuits using a  Cosimulation-base...Functional Verification of Large-integers Circuits using a  Cosimulation-base...
Functional Verification of Large-integers Circuits using a Cosimulation-base...
 
Artificial Neural Networks for Construction Management: A Review
Artificial Neural Networks for Construction Management: A ReviewArtificial Neural Networks for Construction Management: A Review
Artificial Neural Networks for Construction Management: A Review
 
Iedm 2012 techprogram
Iedm 2012 techprogramIedm 2012 techprogram
Iedm 2012 techprogram
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sram
 
Fault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sramFault model analysis by parasitic extraction method for embedded sram
Fault model analysis by parasitic extraction method for embedded sram
 
10
1010
10
 
A survey report on mapping of networks
A survey report on mapping of networksA survey report on mapping of networks
A survey report on mapping of networks
 
Measurement of end to end delays in ad hoc 802
Measurement of end to end delays in ad hoc 802Measurement of end to end delays in ad hoc 802
Measurement of end to end delays in ad hoc 802
 
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...IRJET-  	  A Review Paper on Analysis of Braking System by X-By-Wire System u...
IRJET- A Review Paper on Analysis of Braking System by X-By-Wire System u...
 
233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload233466440 rg-major-project-final-complete upload
233466440 rg-major-project-final-complete upload
 

Similar to A Unified Approach for Performance Degradation Analysis from Transistor to Gate Level

EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONVLSICS Design
 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip IJECEIAES
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...VLSICS Design
 
Characterization of silicon nanowire transistor
Characterization of silicon nanowire transistorCharacterization of silicon nanowire transistor
Characterization of silicon nanowire transistorTELKOMNIKA JOURNAL
 
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthInvestigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthTELKOMNIKA JOURNAL
 
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...IOSR Journals
 
Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology VLSICS Design
 
Performance analysis of ultrathin junctionless double gate vertical MOSFETs
Performance analysis of ultrathin junctionless double gate vertical MOSFETsPerformance analysis of ultrathin junctionless double gate vertical MOSFETs
Performance analysis of ultrathin junctionless double gate vertical MOSFETsjournalBEEI
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfYogeshAM4
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfYogeshAM4
 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfYogeshAM4
 
Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...IJECEIAES
 
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimsonpublishers-Electronics
 
age acknowledging reliable multiplier
age acknowledging reliable multiplierage acknowledging reliable multiplier
age acknowledging reliable multiplierIJAEMSJORNAL
 
Optimization of 14 nm double gate Bi-GFET for lower leakage current
Optimization of 14 nm double gate Bi-GFET for lower leakage currentOptimization of 14 nm double gate Bi-GFET for lower leakage current
Optimization of 14 nm double gate Bi-GFET for lower leakage currentTELKOMNIKA JOURNAL
 
Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...eSAT Journals
 
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET IJECEIAES
 

Similar to A Unified Approach for Performance Degradation Analysis from Transistor to Gate Level (20)

EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATIONEVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
EVALUATION OF OPTICALLY ILLUMINATED MOSFET CHARACTERISTICS BY TCAD SIMULATION
 
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip  Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip
Detection and Monitoring Intra/Inter Crosstalk in Optical Network on Chip
 
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
IMPACT OF DEVICE PARAMETERS OF TRIPLE GATE SOI-FINFET ON THE PERFORMANCE OF C...
 
Kg3418451855
Kg3418451855Kg3418451855
Kg3418451855
 
Lf3420252035
Lf3420252035Lf3420252035
Lf3420252035
 
Characterization of silicon nanowire transistor
Characterization of silicon nanowire transistorCharacterization of silicon nanowire transistor
Characterization of silicon nanowire transistor
 
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel lengthInvestigation and design of ion-implanted MOSFET based on (18 nm) channel length
Investigation and design of ion-implanted MOSFET based on (18 nm) channel length
 
Iaem
IaemIaem
Iaem
 
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
Optimization of Threshold Voltage for 65nm PMOS Transistor using Silvaco TCAD...
 
Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology Design and test challenges in Nano-scale analog and mixed CMOS technology
Design and test challenges in Nano-scale analog and mixed CMOS technology
 
Performance analysis of ultrathin junctionless double gate vertical MOSFETs
Performance analysis of ultrathin junctionless double gate vertical MOSFETsPerformance analysis of ultrathin junctionless double gate vertical MOSFETs
Performance analysis of ultrathin junctionless double gate vertical MOSFETs
 
Three-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdfThree-dimensional_integrated_circuits.pdf
Three-dimensional_integrated_circuits.pdf
 
Three-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdfThree-dimensional_integrated_circuits (3).pdf
Three-dimensional_integrated_circuits (3).pdf
 
Three-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdfThree-dimensional_integrated_circuits (2).pdf
Three-dimensional_integrated_circuits (2).pdf
 
Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...Geometric and process design of ultra-thin junctionless double gate vertical ...
Geometric and process design of ultra-thin junctionless double gate vertical ...
 
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
 
age acknowledging reliable multiplier
age acknowledging reliable multiplierage acknowledging reliable multiplier
age acknowledging reliable multiplier
 
Optimization of 14 nm double gate Bi-GFET for lower leakage current
Optimization of 14 nm double gate Bi-GFET for lower leakage currentOptimization of 14 nm double gate Bi-GFET for lower leakage current
Optimization of 14 nm double gate Bi-GFET for lower leakage current
 
Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...Comparative analysis of technology advancement from single gate to multi gate...
Comparative analysis of technology advancement from single gate to multi gate...
 
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET
Impact of multiple channels on the Characteristics of Rectangular GAA MOSFET
 

More from IJECEIAES

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...IJECEIAES
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionIJECEIAES
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...IJECEIAES
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...IJECEIAES
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningIJECEIAES
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...IJECEIAES
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...IJECEIAES
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...IJECEIAES
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...IJECEIAES
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyIJECEIAES
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationIJECEIAES
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceIJECEIAES
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...IJECEIAES
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...IJECEIAES
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...IJECEIAES
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...IJECEIAES
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...IJECEIAES
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...IJECEIAES
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...IJECEIAES
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...IJECEIAES
 

More from IJECEIAES (20)

Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...Cloud service ranking with an integration of k-means algorithm and decision-m...
Cloud service ranking with an integration of k-means algorithm and decision-m...
 
Prediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regressionPrediction of the risk of developing heart disease using logistic regression
Prediction of the risk of developing heart disease using logistic regression
 
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...Predictive analysis of terrorist activities in Thailand's Southern provinces:...
Predictive analysis of terrorist activities in Thailand's Southern provinces:...
 
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...Optimal model of vehicular ad-hoc network assisted by  unmanned aerial vehicl...
Optimal model of vehicular ad-hoc network assisted by unmanned aerial vehicl...
 
Improving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learningImproving cyberbullying detection through multi-level machine learning
Improving cyberbullying detection through multi-level machine learning
 
Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...Comparison of time series temperature prediction with autoregressive integrat...
Comparison of time series temperature prediction with autoregressive integrat...
 
Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...Strengthening data integrity in academic document recording with blockchain a...
Strengthening data integrity in academic document recording with blockchain a...
 
Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...Design of storage benchmark kit framework for supporting the file storage ret...
Design of storage benchmark kit framework for supporting the file storage ret...
 
Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...Detection of diseases in rice leaf using convolutional neural network with tr...
Detection of diseases in rice leaf using convolutional neural network with tr...
 
A systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancyA systematic review of in-memory database over multi-tenancy
A systematic review of in-memory database over multi-tenancy
 
Agriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimizationAgriculture crop yield prediction using inertia based cat swarm optimization
Agriculture crop yield prediction using inertia based cat swarm optimization
 
Three layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performanceThree layer hybrid learning to improve intrusion detection system performance
Three layer hybrid learning to improve intrusion detection system performance
 
Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...Non-binary codes approach on the performance of short-packet full-duplex tran...
Non-binary codes approach on the performance of short-packet full-duplex tran...
 
Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...Improved design and performance of the global rectenna system for wireless po...
Improved design and performance of the global rectenna system for wireless po...
 
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...Advanced hybrid algorithms for precise multipath channel estimation in next-g...
Advanced hybrid algorithms for precise multipath channel estimation in next-g...
 
Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...Performance analysis of 2D optical code division multiple access through unde...
Performance analysis of 2D optical code division multiple access through unde...
 
On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...On performance analysis of non-orthogonal multiple access downlink for cellul...
On performance analysis of non-orthogonal multiple access downlink for cellul...
 
Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...Phase delay through slot-line beam switching microstrip patch array antenna d...
Phase delay through slot-line beam switching microstrip patch array antenna d...
 
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...A simple feed orthogonal excitation X-band dual circular polarized microstrip...
A simple feed orthogonal excitation X-band dual circular polarized microstrip...
 
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
A taxonomy on power optimization techniques for fifthgeneration heterogenous ...
 

Recently uploaded

Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 

Recently uploaded (20)

9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 

A Unified Approach for Performance Degradation Analysis from Transistor to Gate Level

  • 1. International Journal of Electrical and Computer Engineering (IJECE) Vol. 8, No. 1, February 2018, pp. 412 – 420 ISSN: 2088-8708 412 Institute of Advanced Engineering and Science w w w . i a e s j o u r n a l . c o m A Unified Approach for Performance Degradation Analysis from Transistor to Gate Level Izhar Hussain, Marco Vacca, Fabrizio Riente, and Mariagrazia Graziano Department of Electronics and Telecommunications , Politecnico di Torino, Italy Article Info Article history: Received: Jul 25, 2017 Revised: Nov 9, 2017 Accepted: Dec 1, 2017 Keyword: Scaling Process Emerging Technologies Web-based Services Education Fifth keyword ABSTRACT In this paper, we present an extensive analysis of the performance degradation in MOS- FET based circuits. The physical effects that we consider are the random dopant fluctua- tion (RDF), the oxide thickness fluctuation (OTF) and the Hot-carrier-Instability (HCI). The work that we propose is based on two main key points: First, the performance degradation is studied considering BULK, Silicon-On-Insulator (SOI) and Double Gate (DG) MOSFET technologies. The analysis considers technology nodes from 45nm to 11nm. For the HCI ef- fect we consider also the time-dependent evolution of the parameters of the circuit. Second, the analysis is performed from transistor level to gate level. Models are used to evaluate the variation of transistors key parameters, and how these variation affects performance at gate level as well.The work here presented was obtained using TAMTAMS Web, an open and publicly available framework for analysis of circuits based on transistors. The use of TAM- TAMS Web greatly increases the value of this work, given that the analysis can be easily extended and improved in both complexity and depth. Copyright c 2018 Institute of Advanced Engineering and Science. All rights reserved. Corresponding Author: Izhar Hussain Department of Electronics and Telecommunications , Politecnico di Torino, Italy ihussain899@gmail.com 1. INTRODUCTION The MOSFET transistor has proven to be a very robust device. As testified by the ITRS Roadmap [1], its size has been reduced from micrometers to nanometers over the course of the last four decades. The extraordinary development of electronics is due mainly to this continuous physical scaling. Nowadays commercial devices employs transistors that have a size of few tenth of nanometers [2]. A single chip can house billions of transistors [3]. Scaling at such a fast pace eventually leads to increased variability and reliability issues, that pose a unique challenge for circuit lifetime estimation [4]. 1.1. State of the art analysis Variations can be categorized into two main types, depending on their source of origin; process- induced variation and intrinsic fluctuations [5]. Intrinsic variability and reliability such as Oxide Thickness Fluctuation (OTF) and Random Dopant Fluctuation (RDF), are induced by charges and geometrical fluctuations at atomic scale level. These variations and reliability issues are unique to device structure and their effects may be different for different CMOS devices. On the other hand, process-induced variations are caused by defects during silicon fabrication, which may be particular to a process in a foundry. In addition, transistor performance not only depends upon static process variations, but transistors parameters also start to degrade over time. This individual device degradation, named aging, affects the circuit performance metrics over a period of time. These effects are, as an example, Negative Bias Temper- ature Instability (NBTI), Hot-carrier-Instability (HCI) and Time Dependent Dielectric Breakdown (TDDB) [6][7][8]. Such performance limiting mechanisms are being investigated since three decades, but they are more pronounced in the nano-scale regime now and are inevitable for design consideration as the equivalent oxide thickness scales down as low as 5 Angstrom in the future [9]. These intrinsic variations and aging parameters are limited by fundamental Journal Homepage: http://iaescore.com/journals/index.php/IJECE Institute of Advanced Engineering and Science w w w . i a e s j o u r n a l . c o m , DOI: 10.11591/ijece.v8i1.pp412-420
  • 2. IJECE ISSN: 2088-8708 413 device physics, making it one of the ultimate bottleneck for CMOS design process and continual technology scaling. As the device size approaches to atomic dimensions,the intrinsic variability and reliability considerations have become integral part of CMOS circuit design by the technologists. The most notable change that these effects have on a tran- sistor is a shift in the value of threshold voltage (Vth) and mobility (µ). As a consequence, reliability issues leads to performance degradation and eventually to the failure of devices. While the effects of variations on a single transistor are well known and studied, it is more difficult to understand their impact at logic gate level. In [10] a reliability analysis was performed on a static RAM memory. Transistor with planar and simpler structures have been extensively studied over the past decades. Reliability analysis of complex structures and advances devices has also been presented in literature. For example, the work presented in [11] focuses on junctionless Fet transistors, while in [12] authors analyze sub-20 nm asymmetric DG devices. In [13] Shashi Kant Dargar performed degradation analysis of GaN Based Thin Film Transistors. Finally, given that many technologies are currently studied as possible MOSFET replacement, reliability analysis has been conducted also on emerging technologies. For example in [14] authors studies effect of device variables on surface potential and threshold voltage in Double gate graphene FET (DG-GNRFET). Instead in [15] authors focus on carbon nanotube FETs. 1.2. Motivation of the work The aim of this paper is to analyze in a coherent way the impact of reliability on the transistor’s performance. Particularly, we are interested to analyze the performance degradation due to the Oxide Thickness Fluctuation (OTF), Random Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI). The novelty of our approach is that we consider and evaluate the effect of these issues starting from the transistor level up to gate level together. • The E. Maricau [7] and Yu Cao [4][9] models are used for the Oxide Thickness Fluctuation (OTF), Random Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI), to evaluate the variation of threshold voltage (Vth) and mobility (µ). The consequent change in the drive current (Ion) are evaluated. • The variation of Vth, µ and Ion has been analyzed considering three different types of MOSFETs: BULK, Silicon-On-Insulator (SOI) and Double Gate (DG) considering the technology scaling. Technology nodes from 45nm to 11nm are studied. • For the HCI effect [7][9], both the trend with technology scaling and the time-dependent evolution are studied. • A model to estimate the performance of standard logic gates, both in terms of timing and dynamic power, was developed. The model takes into account the variation of the parameters of transistors, therefore it is possible to evaluate the impact of reliability at logic gate level. • Using a NAND gate as a testbench, the variation of timing and dynamic power considering both the technology scaling and the time dependent analysis (for the HCI effect) are carried on. The analysis is repeated for all the three types of transistors. 2. SIMULATION TOOL Among the performance estimation tools, MASTAR is the best-known [16]. It is used by the International Roadmap for Semiconductors [1] to forecast future transistors performance. Unfortunately, MASTAR limits the anal- ysis at the device level. To explore system level parameters, another tool named BACPAC [17] can be used. It includes a large set of analytical models to estimate and predict the performance of future VLSI circuits. However, both these tools present some limitations. They are restricted to one abstraction level, that can be the device, the gate or system level. Moreover, they are focused on MOSFET technology and do not consider emerging devices. To overcome these limitations and enable the performance estimation of electronic circuits from device to system level, we developed TAMTAMS (Torino Assessment of Mos Technology and Advanced perforMance of System Calculator). TAMTAMS is a web-based tool, presented in [18], that combines the device level analysis available in MASTAR with the system level analysis provided by BACPAC. TAMTAMS is developed by the VLSI group at Politecnico di Torino and it is constantly evolving. Currently, it is used as teaching tool in the master level course Integrated System Technology for the Electronics Engineering Master degree held at Politecnico di Torino. TAMTAMS includes many models and technologies. Its flexibility and modularity eases the extension of new modules and new technologies. At the time of writing, CMOS technology is fully supported. It includes BULK, SOI and double gate (DG) devices. Within digital electronic circuits, three abstraction level can be identified: i) device level, ii) gate level, iii) system level. The TAMTAMS structure is summarized in Fig. 1. It is built considering this three-layered A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
  • 3. 414 ISSN: 2088-8708 Figure 1. TAMTAMS analysis flow. Three kind of analysis are possible: device level, gate level and system level. Device and system level parameters are used according to the kind of investigation selected by the user. Computed results are reported in a textual or graphical form. structure. In the lowest level of abstraction, device level models can be found. To this category belong threshold voltage, mobility and current models. Implemented models are specific for the selected technology (BULK, SOI, DG). The physical characteristics of a transistor, such as gate length, the gate oxide thickness, etc.., are grouped in the device parameters. Moving to a higher level of abstraction, a gate level analysis can be executed. Here NAND/AND, Flip-Flop models are available. The analysis starts from device level modules, whose results are used by gate level models. System level analysis is based on a set of technology independent parameters. They can be the total number of tran- sistors, the percentage of memory and logic within a digital circuit. Another important feature is that TAMTAMS automatically solves and keep track of all the module dependencies. According to the analysis selected by the user, the dependency tree is analyzed and the proper models are solved. Computed results are reported in a textual or graph- ical form. Thus, data can also be post-processed by using external tools. In addition, TAMTAMS supports parametric analysis, i.e. device or system level parameters can be varied to under- stand their impact at different abstraction levels. Indeed, this approach has been exploited in paper to analyze the effect of threshold voltage degradation with time. 3. TRANSISTOR PERFORMANCE DEGRADATION 3.1. Description of Models This work focuses on the prediction of performance degradation as the technology scales down, both at transistor level as well at circuit level. Predictive technology models for reliability aware transistor to circuit design analysis were considered. The models are scalable with wide range of technologies and process variations [7][4][9]. Variation in threshold voltage Vth and carrier mobility µ at device level provides the basis for the performance degra- dation analysis at circuit level. In the next sections, static and time-dependent models are described before presenting the obtained results. 3.1.1. Static variability model The primary intrinsic variations are Random Dopant Fluctuation (RDF) and Oxide Thickness Fluctuation (OTF). The RDF phenomena arises due to variation of impurity concentration during ion implantation process. RDF is more pronounced in smaller transistors as the technology scales down. Because of lower doping concentration, addition or deletion of a few impurity atoms can significantly change transistor properties, especially the Vth. The physics based model, as stated in [4] is given by: ∆Vth(RDF ) = q CINV NchWdep 3WL × 1.2 (1) where W, L, Nch, Wdep are the channel width, channel length, channel doping and depletion width, respectively. A more explicit expression is obtained by expanding the Wdep term and ignoring other second order terms. Equation 1 IJECE Vol. 8, No. 1, February 2018: 412 – 420
  • 4. IJECE ISSN: 2088-8708 415 becomes: ∆Vth(RDF ) = C1 q √ 3WL toxe εox 2εSiNch q 1/4 (2) where C1 is a fitting parameter accounting for surface potential. The other parameters, toxe, εSi, εox and q are the equivalent oxide thickness, permittivity of silicon, permittivity of the oxide layer, and elementary charge, respectively. The above equation suggests that RDF induced variation is directly proportional to toxe and Nch 0.25 . The OTF phenomena arises due to surface roughness between silicon and gate oxide interface at atomistic level. As Vth is directly proportional to gate oxide thickness Tox, any fluctuation in oxide thickness leads to change in Vth. This effect is even more highlighted when the gate oxide thickness approaches atomic dimensions [4]. The threshold voltage variation due to oxide thickness fluctuation can be expressed as: ∆Vth(OT F ) = C4 √ qNchεSiq εox λ √ 2WL ∆H, (3) where C4 is the only fitting parameter. ∆H represents the minimum possible magnitude of OTF, that is the height of one silicon atom layer which is equal to 2.71 ˚A. The correlation length λ of OTF typically ranges between 1-3nm, as reported in [4]. 3.1.2. Time-dependent reliability model As mentioned, many effects can degrade the device performance over time. In the following, the Hot-Carrier- Instability (HCI) aging mechanism and its corresponding model are described. HCI manifests itself as an increase in the threshold voltage Vth and carrier mobility µ, especially for the NMOS transistor [9][6][7]. The HCI model is based on the classical Reaction-Diffusion (R-D) model [6]. HCI mechanism can be physically described as generation of charges at the Si − SiO2 interface. HCI model shows power law dependence with time t. As reported in [7][9], analytical model for shift in Vth and µ as a function of stress, operating condition and device parameters can be expressed as: ∆Vth(HCI) = A[(Vgs − Vth)Kv]nx+1/nx ( nxt L ) 1 1+nx , (4) where Kv = exp( Eox Eo )exp( −φt qλEm )( −Ea KT ), Em = Vds − Vdsat l , l = 0.2(Tox)0.33 (Xj)0.5 , Vdsat = Leff Esat(Vgs − Vth) Leff Esat + (Vgs − Vth) , Eox = Vgs − Vth Tox , Cox = εox Tox , Vt = KT q . The increase in concentration of interface charge Nit also result in increase of mobility µ. The mobility degradation is given by: µ = µeff (1 + αNit)m , α = 5, m = 1.6, (5) Default values of the technology independent model coefficients as stated in [7][9] are shown in Table 1. Table 1. HCI Model parameters A 1.5e-5 vsat (m/s) 1e5 nx 1.21 Φt (eV) 3.7 q (C) 1.6e-19 E0 (V/m) 0.71e8 λ(m) 7.8e-9 Θ (V) 0.95 Ea - 0.06 K (J/k) 1.38e-23 where nx, E0, Ea and A are process parameters, φit is the critical electron energy for generating an interface trap, Em is maximum channel electric field which occurs at the drain end of the channel, l is the pinch off length, λ is hot electrons mean free path, Eox is electrical field across oxide, Vt is the thermal voltage at room temperature and t is stress time given in seconds [7] [9]. These performance degradation models have been integrated in TAMTAMS. A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
  • 5. 416 ISSN: 2088-8708 ! " #! #" $! $" %"&'( )*&'(& )$&'(& $+&'(& $"&'( $$&'( ,-./&012 345'6&78&-./&6594:6:.;7'&;'& <=>?&@5A;B5&CB:>;'9&& DEF G3H I@H E7(J;'56 ! " # $ % & ' ( ) * #!+,-+ ")+,-+ "'+,- "%+,- "$+,- ./01+234 567,8+9:+/01+87;6<8<0=9,+=,+ >?@+A7B=C7+>C<D=,;++ EF@ ?5G HAG F9-I=,78 ! " # $ % & ' ( ) * "! #!+,- ")+,-+ "'+,-+ "%+,- "$+,- ""+,- ./01+234 567,8+9:+/01++87;6<8<0=9,+=,+ >?+>7@=A7+BA<C=,;++ DEF G5H I>H E9-J=,78 ! " # $ % & ' ( %&)*+ $()*+) $#)*+) #,)*+) #&)*+ ##)*+ -.)/01 234*5)67).)5483959:;6*);*) <=>?)@4A;B4)CB9>;*8)) DEF G2H I@H E6+J;*45 ! " # $ % & ' #!()*( "+()*( "'()* "%()* "$()* ,-(./0 123)4(56(-(43728489:5)(:)( ;<=(>3?:@3(;@8A:)7(( BC= <1D E>D C5*F:)34 ! " # $ % &! &" &# &$ "!'() &%'()' &$'()' &#'() &*'() &&'() +,'-./ 012(3'45','3261737894('9(' :;':2<9=2'>=7?9(6'' @AB C0D E:D A4)F9(23 ! " # $ % &! &" &# &$ &% #'()* +,()*( +"()*( "%()*( "'()* ""()* -./)(012 345)6(/7(./)(6584969:;/)(;)( <=>?(@5A;B5(CB9>;)8(( DE. F3G H@G E/*I;)56 ! " # $ % & ' ( ) * #!+,-+ ")+,-+ "'+,- "%+,- "$+,- ./0,+123 456,7+08+/0,+7695:7:;<0,+<,+ =>/+?6@<A6+=A:B<,9++ CD/ >4E F?E D0-G<,67 ! " # $ % &! &" &# &$ "!'() &%'()' &$'()' &#'() &*'() &&'() +,-('./0 123(4'-5',-('436274789-('9(':;':3<9=3' >=7?9(6'' @A, B1C D:C A-)E9(34 A) B) C) D) E) F) G) H) I) Figure 2. Trend of Vth , µ and Ion degradation on BULK, SOI and DG device scaling. A) Thresold voltage, D) Mobility and G) Drive current percentage degradation for BULK technology. B) Thresold voltage, E) Mobility and H) Drive current percentage degradation for SOI technology. C) Thresold voltage, F) Mobility and I) Drive current percentage degradation for DG technology. For each case, the variation considering HCI, OTF and RDF are reported alongside to the combined effect of all these contributions together. Figure 3. a)First Row: HCI induced performance degradation vs stress time for LOP 20 nm node for 3 different technologies. A) Threshold voltage, B) Mobility and C) Drive current percentage degradation. 3. b) Second Row: NAND2 gate time delay and dynamic power percentage degradation as a function of stress time ’t’ for LOP 20 nm BULK, SOI and DG technologies. A) Delay variation. B) Dynamic power variation. IJECE Vol. 8, No. 1, February 2018: 412 – 420
  • 6. IJECE ISSN: 2088-8708 417 3.2. Results (Device level) In this section we discuss and analyze the performance degradation at device level relating to three different types of technologies, i.e. BULK, SOI and DG. The choice of different technologies not only contribute to different structures but also follow their scaling trend, e.g. the first node of LOP BULK technology analyzed is 45 nm and last node of LOP DG technology scales down to 11 nm. Two kind of analysis are considered: First analysis considers technology scaling across multiple types of transistors and nodes. Second one is a parametric analysis that focuses on one technology node and studies the variation in transistor’s parameters like Vth , µ and Ion with time. Fig. 2 shows the scaling trend for OTF, RDF and HCI induced degradation for Low operating Power (LOP) BULK, SOI and DG transistor technologies. It is to be noted that both static and dynamic models are analyzed together, because according to Alam [19] spatial and dynamic variations should be considered within the same framework. In Fig. 2, technologies are listed column-wise with BULK technology in Fig. 2.A-D-G, SOI in Fig. 2.B-E-H and DG in Fig. 2.C-F-I. The shift in Vth , µ and Ion are plotted in rows with Vth in the first row, µ in the second row and Ion third row. All variations are shown in relative units (%) and represent how much each quantity (Vth, µ and Ion) varies comparing to their nominal values. For each case, the variation considering HCI, OTF and RDF are reported alongside the combined effect of all these contributions together. The larger picture bring in some interesting observations. Relative variations are maximum for BULK technology nodes. As expected, the scaling trend increases within the framework of same technology for all the three types of devices. Considering static models, RDF remains the major source of Vth, µ and Ion variability, as indicated by [4], with maximum Vth relative increase recorded as 12% for first node , i.e. LOP BULK 45 nm node (Fig. 2A), and maximum relative decrease in µ and Ion recorded as 9% for the last node, i.e. LOP 11nm DG technology node (Fig. 2F and 2I). Considering time-dependent model,it is interesting to note that HCI induced degradation is larger for SOI devices than DG. The reason is, in DG case the carriers flow close to the center of the device where the potential fluctuations introduced by the trapped charges are relatively small, resulting in a lower variability compared the SOI device where the transport occurs close to the top interface and device is more affected by potential fluctuations [20]. Fig. 3.a) depicts the variations of Vth, µ and Ion at room temperature with increasing time. Fig. 3.a) shows HCI induced time-dependent variations Vth, µ and Ion remains below 1% for all the nodes under consideration, for a period of 103 stress seconds. It can be seen in Fig. 3.a) that as the devices are exposed to longer period of stress time ts , from 103 to 105 the degradation becomes more severe. Fig. 3.a) shows the performance degradation as function of stress time ts, considering LOP 20 nm as reference node for all the three technologies. BULK devices are more affected as compare to the other two. For BULK, degradation in Vth is 0.5% at ts = 103 , it increases to 2% at ts = 104 , and it reaches 6.35% at ts = 105 (Fig. 3a.A). Similarly, maximum degradation in Vth of 6.04% and 4.63% are recorded for SOI and DG technology respectively at ts = 105 (Fig. 3a.A). According to Alam [19], time-induced variation can be larger than the nominal degradation by more than 34% in 3 years lifetime. It has been observed that nominal shift remains near constant throughout different technologies. This is mainly due to relaxed oxide scaling but relative magnitude of Vth variation increases with technology scaling. 4. FROM DEVICE LEVEL TO GATE LEVEL After detailed analysis of degradation of performance metrics at device level arising from intrinsic fluctuation and charge trapping, we have observed how the primary performance parameters like Vth and µ are affected. In the following, we consider their impact at gate level. 4.1. Description of NAND gate model Reliability aware gate level analysis is interesting in a way that NAND gate is considered as universal building block for all high level CMOS circuits. Since the threshold voltage directly affects the delay of a digital gate, the operating frequency of the gate degrades, which in-turn affect the dynamic power consumption [21]. Mathematically putting: τ = f(Vth), Frequency, F = 1/τ, Dynamic Power, Pdyn = f(F). The delay analysis of the NAND gate is implemented exploiting the Elmore Delay model [22], considering its easy implementation and optimistic results. Here, the shift in Vth is translated into an increase in resistance that affects the time delay. On the other hand, the dynamic power consumption for NAND2 gate is estimated considering the probabilistic model that can be expressed as: Dynamic Power, Pdyn = 1 2 f C α V 2 dd [W], A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
  • 7. 418 ISSN: 2088-8708 ! " # $ % &! &" &# &$ &% #'()* +,()*( +"()*( "%()*( "'()* ""()* -./ 01234(5657"(78)9*:;(<=>?@(ABC( B;9D:)E(( FGH IJK L7K G=*M:)?N ! " # $ % &! &" &# &$ &% "! #'()* +,()*( +"()*( "%()*( "'()* ""()* -./ 01234(5657"(789:;( <=>(=?:9@)A(( BCD EFG H7G CI*J@)8K ! " # $ % &! &" &# "!'()' &%'()' &$'() &#'() &*'() +,- ./01'2324"'45678' 9:;':<76=(>'' ?@0 /AB C4B @D)E=(5F ! " # $ % &! &" &# &$ "!'() &%'()' &$'()' &#'() &*'() &&'() +,- ./0'121."'.3456' 789'8:54;(<'' =>? @AB C.B >D)E;(3F ! " # $ % &! &" "!'()' &%'()' &$'() &#'() &*'() +,- ./01'2324"'45(6)78'9:;<=' >?@''?86A7(B'' CD0 /EF G4F D:)H7(<I ! " # $ % &! &" &# &$ "!'() &%'()' &$'()' &#'() &*'() &&'() +,- ./0'121."'.3(4)56'789:;' <=>''=64?5(@'' ABC DEF G.F B8)H5(:I A) B) C) D) E) F) Figure 4. NAND2 gate time delay and dynamic power percentage variation trend with BULK, SOI and DG scaling. A) and D) depicts respectively delay and dynamic power variation for BULK technology. B) and E) depicts respectively delay and dynamic power variation for SOI technology. C) and F) depicts respectively delay and dynamic power variation for DG technology. where α is the switching activity, f is the frequency and C is the total capacitance. In order to get simplified analysis, two assumptions were made: i) The input probability is always considered as 0.5 . ii) The computation of the switching activity for every single node is based on a probabilistic model. Further details on the model are not reported for space reasons. Considering reliability degradation, we assume all other parameters in above equation are unaffected by shift in Vth; thus Pdyn becomes the function of frequency only. The frequency change [∆F/F] in a circuit is measured as a direct measure of the degradation, which is proportional to Vth change under HCI [21]. 4.2. Results (Gate level) Fig. 4 shows degradation scaling trend of time delay and dynamic power for 2-input NAND gate. Gate delay increases due to the variation, while maximum dynamic power decrease accordingly. It is possible to observe a projection of the results analyzed at device level. BULK transistor based NAND gate is more exposed to static and dynamic degradation when compared to the other technologies (see Fig. 4.A and Fig. 4.D). The change in Vth directly affects the time delay in a digital gate [21], so the effect is more monotonic with the trend of Vth degradation scaling plots. Dynamic power degradation trend is similar to the delay, because dynamic power depends upon the operating frequency which is the inverse of critical path delay. As at device level analysis, RDF is contributing the major part of total degradation. In Fig. 4, it is interesting to see that HCI induced degradation is higher for SOI technology [20], with maximum time delay and dynamic power degradation reported as 5.3 % and 5.11 % respectively for LOP 18 nm node at stress time ts = 103 (Fig. 4B and 4E), as compared to [0.56%, 0.56%] for same LOP 18 nm DG technology (Fig.4C and 4E). This shows, how the relative degradation is affected not only due to scaling of transistors, but with device structure as well [20]. Temporal shift of gate level performance degradation parameters are shown in Fig. 3b.A) (delay) and Fig. 3b.B) (maximum dynamic power). Degradation in dynamic power is the replication of time delay plots as expected, with the former plotted as % decrease. HCI induced degradation increases with increase in stress time, validating the ac- curacy of integrated modules. Maximum relative variation estimated for time delay is 5.5 % for 20 nm LOP BULK technology as compared to 5 and 4.3 % for SOI and DG technologies (Fig. 3.bA). In order to mitigate the impact of HCI induced variations at gate level, Vdd tuning and PMOS sizing are the most effective techniques. The authors in [23] shows that as much as 12 % oversizing of gate is needed across five years of operations. 5. CONCLUSIONS In this paper we have presented a detailed analysis of MOSFET performance degradation considering the effects of Oxide Thickness Fluctuation (OTF), Random Dopant Fluctuation (RDF) and Hot-carrier-Instability (HCI). IJECE Vol. 8, No. 1, February 2018: 412 – 420
  • 8. IJECE ISSN: 2088-8708 419 The work here described is unique in its genre because it does a coherent analysis from transistor to circuit level. The analysis is carried on considering several types of transistor, considering the technology scaling and for the HCI effect, considering also the time evolution. The work was carried on under the TAMTAMS framework, an open tool designed to help researchers and student to understand technologies based on transistors. As a future work, we are extending our analysis to system level by introducing digital circuits like adders, multipliers,FPGA, ALU , FIR filter models and different other chip layouts in the analysis as well. Secondly, additional effects that degrades the performance of transistors, like NBTI and electromigration would be interesting to analyze. We are also adding more models to the tool and more technologies, extending the analysis to emerging technologies and Post-Si devices. REFERENCES [1] “International Technology Roadmap of Semiconductors,” 2015, http://www.itrs2.net/. [2] C. H. Jan, F. Al-amoody, H. Y. Chang, T. Chang, Y. W. Chen, N. Dias, W. Hafez, D. Ingerly, M. Jang, E. Karl, S. K. Y. Shi, K. Komeyli, H. Kilambi, A. Kumar, K. Byon, C. G. Lee, J. Lee, T. Leo, P. C. Liu, N. Nidhi, R. Olac- vaw, C. Petersburg, K. Phoa, C. Prasad, C. Quincy, R. Ramaswamy, T. Rana, L. Rockford, A. Subramaniam, C. Tsai, P. Vandervoorn, L. Yang, A. Zainuddin, and P. Bai, “A 14 nm soc platform technology featuring 2nd generation tri-gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 sram cells, optimized for low power, high performance and high density soc products,” in 2015 Symposium on VLSI Technology (VLSI Technology), June 2015, pp. T12–T13. [3] NVIDIA. [Online]. Available: http://www.nvidia.com/object/gpu-architecture.html [4] J. Velamala, C. Wang, R. Zheng, Y. Ye, and Y. Cao, Intrinsic variability and reliability in nano-CMOS, 4th ed., 2011, vol. 35, pp. 353–367. [5] K. Bernstein, D. J. Frank, A. E. Gattiker, W. Haensch, B. L. Ji, S. R. Nassif, E. J. Nowak, D. J. Pearson, and N. J. Rohrer, “High-performance cmos variability in the 65-nm regime and beyond,” IBM Journal of Research and Development, vol. 50, no. 4.5, pp. 433–449, July 2006. [6] H. Kufluoglu and M. A. Alam, “A geometrical unification of the theories of nbti and hci time-exponents and its implications for ultra-scaled planar and surround-gate mosfets,” in IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., Dec 2004, pp. 113–116. [7] E. Maricau, P. D. Wit, and G. Gielen, “An analytical model for hot carrier degradation in nanoscale cmos suitable for the simulation of degradation in analog ic applications,” Microelectronics Reliability, vol. 48, no. 89, pp. 1576 – 1580, 2008, 19th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2008). [8] C. Zhuo, K. Chopra, D. Sylvester, and D. Blaauw, “Process variation and temperature-aware full chip oxide breakdown reliability analysis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Sys- tems, vol. 30, no. 9, pp. 1321–1334, Sept 2011. [9] W. Wang, V. Reddy, A. T. Krishnan, R. Vattikonda, S. Krishnan, and Y. Cao, “Compact modeling and simulation of circuit reliability for 65-nm cmos technology,” IEEE Transactions on Device and Materials Reliability, vol. 7, no. 4, pp. 509–517, Dec 2007. [10] Y. Wang, S. Cotofana, and L. Fang, “Analysis of the impact of spatial and temporal variations on the stability of {SRAM} arrays and the mitigation technique using independent-gate devices,” Journal of Parallel and Distributed Computing, vol. 74, no. 6, pp. 2521 – 2529, 2014, computing with Future Nanotechnology. [Online]. Available: http://www.sciencedirect.com/science/article/pii/S0743731513001354 [11] Riyadi, M. A, Sukawati, I. D, Prakoso, Teguh, and Darjat, “Influence of gate material and process on junctionless fet subthreshold performance,” International Journal of Electrical and Computer Engineering, vol. vol.6, 2016. [12] Jung, H. Kee, Dimitrijev, and Sima, “The impact of tunneling on the subthreshold swing in sub-20 nm asymmet- ric double gate mosfets,” International Journal of Electrical and Computer Engineering, vol. vol.6, 2016. [13] Dargar, S. Kant, Srivastava, JK, Bharti, Santosh, Nyati, and Abha, “Performance evaluation of gan based thin film transistor using tcad simulation,” International Journal of Electrical and Computer Engineering (IJECE), vol. vol.7, pp. 144–151, 2017. [14] B. Mehrdel, A. A. Aziz, Ghadiri, and M. Hossein, “Effect of device variables on surface potential and threshold voltage in dg- gnrfet,” International Journal of Electrical and Computer Engineering, vol. vol. 5, 2015. [15] B. Srinivasu and K. Sridharan, “Reliability analysis of full adder in schottky barrier carbon nanotube fet technol- ogy,” in 14th IEEE International Conference on Nanotechnology, Aug 2014, pp. 274–277. [16] ST-Microelectronics, “MASTAR (Model for Assesment of CMOS Technologies And Roadmaps),” available on ITRS website. A Unified Approach for Performance Degradation Analysis from ... (Izhar Hussain)
  • 9. 420 ISSN: 2088-8708 [17] D. Sylvester and K. Keutzer, “System Level Performance Modeling with BACPAC, Berkeley Advanced Chip Performance Calculator,” proc. of IEEE SLIP, pp. 109–114, 1999. [18] F. Riente, I. Hussain, M. R. Roch, and M. Vacca, “Understanding cmos technology through tamtams web,” IEEE Transactions on Emerging Topics in Computing, vol. 4, no. 3, pp. 392–403, July 2016. [19] K. Kang, S. P. Park, K. Roy, and M. A. Alam, “Estimation of statistical variation in temporal nbti degradation and its impact on lifetime circuit performance,” in 2007 IEEE/ACM International Conference on Computer-Aided Design, Nov 2007, pp. 730–734. [20] B. Cheng, A. R. Brown, S. Roy, and A. Asenov, “Pbti/nbti-related variability in tb-soi and dg mosfets,” IEEE Electron Device Letters, vol. 31, no. 5, pp. 408 – 410, May 2010. [21] R. Reis, Y. Cao, and G. Wirth, Circuit Design for Reliability. Springer New York, 2016. [22] R. Gupta, B. Tutuianu, and L. T. Pileggi, “The elmore delay as a bound for rc trees with generalized input signals,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 1, pp. 95–104, Jan 1997. [23] R. Vattikonda, W. Wang, and Y. Cao, “Modeling and minimization of pmos nbti effect for robust nanometer design,” in 2006 43rd ACM/IEEE Design Automation Conference, July 2006, pp. 1047–1052. BIOGRAPHIES OF AUTHORS Izhar Hussain received his B.Sc. degree in Electronics Engineering from UET Peshawar, Pakistan in 2008. He received his M.Sc. degree in Nanotechnologies for ICTs in October 2014 from Po- litecnico di Torino, Italy and enrolled in the same university as Ph.D. student in November 2014. Since then, he has been active in research activities with VLSI group in the Department of Elec- tronics and Telecommunications, Politecnico di Torino. His research area includes Modelling and Characterization of CMOS nano-transistors and emerging devices. Marco Vacca Marco Vacca received the Dr.Eng. degree in electronics engineering from the Po- litecnico di Torino, Turin, Italy, in 2008. In 2013, he got the Ph.D. degree in electronics and com- munication engineering. He is currently working as an Assistant Professor in the Politecnico di Torino. Since 2010, he has been teaching Design of Digital Circuits and Power Electronics. His research interests include quantum-dot cellular automata and others beyond-CMOS technologies. Fabrizio Riente received the M.Sc. (Hons.) (magna cum laude) degree in electronics engineer- ing from the Politecnico di Torino, in 2012, where he is currently pursuing the Ph.D. degree with the Department of Elec-tronics and Telecommunications. His primary research interests are device modeling, circuit design for nanocomputing, with a particular interest in magnetic QCA, and sil- icon nanowire technologies. His interest also covers the development of EDA tool for emerging technologies, with the main focus on the physical design. Mariagrazia Graziano received the Dr.Eng. and the PhD degrees in Electronics Engineering from the Politecnico di Torino, Italy, in 1997 and 2001, respectively. Since 2002, she is a researcher and since 2005, an assistant professor at the Politecnico di Torino. Since 2008, she is adjunct faculty at the University of Illinois at Chicago and since 2014 she is a Marie-Skodowska-Curie Intra-European Fellow at the London Centre for Nanotechnology. Her research interests include design of CMOS and beyond CMOS devices, circuits and architectures.She is author and coauthor of more than 120 published works. She is a Member of the IEEE since 2007. IJECE Vol. 8, No. 1, February 2018: 412 – 420