SlideShare a Scribd company logo
1 of 4
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
DESIGN AND IMPLEMENTATION OF MODIFIED CLOCK
GENERATION
Bibi Hajira1
, Nayana M2
, Siva Yellampalli3
, Mujthaba4
1
Department of VLSI & Embedded Systems, UTL Technologies, Bangalore
2
Department of VLSI & Embedded Systems, UTL Technologies, Bangalore
3
Department of VLSI & Embedded Systems, UTL Technologies, Bangalore
4
Jain College of Engineering, Belgaum
Abstract
Performing delay test needs the automatic test equipment (ATE) which is used to provide the high-speed clocks, which is then
used to generate at-speed test. ATE has some limitations such as it has limited number of clock pins, and is limited in supplying
maximum clock frequencies. Expensive ATE has number of pins that works in high frequencies but that will be very expensive to
go with to avoid that in this project at speed pulses is generated by a logic that is given to STUMP based LBIST
Keywords — ATE, LBIST
---------------------------------------------------------------------***--------------------------------------------------------------------
1. INTRODUCTION
In olden days’ people used to generate at speed clock pulses
using ATE. For at speed testing the number of external pins
requirement will increases but ATE has limited number of
pins. Some ATE are available with more number of external
pins but they become expensive for generating clock pulses
in Giga Hertz.
As the technology is scaling down the frequency to detect
delay defects has to be high in frequency. Initially ATE used
for detecting the delay defects but when the frequency of
operation is in GHz the ATE becomes very complicated and
costly. So clock generation on chip was the best idea to
come up with. The on chip clock generation without
increasing the complexity will generate the speed clock by
using the logic. These generated clocks is then given to
LBIST.
2. LITERATURE SURVEY
In paper [11], the ATPG was done manually for insertion of
patterns for on chip clock generation they used Delay test
ATPG. Since there is an issue with sequential nature of the
ATPG, delay test in sequential ATPG is far complex as
compare to stuck-at ATPG. In stuck-at ATPG, the use of
more than one clock cycle during ATPG is known.
Additional clock cycles are required to initialize sequential
elements for example non-scan cells and RAM, they are also
known as “clock sequential” or “RAM sequential” ATPG,
respectively. Due to this addition of non scan cells it adds
still more complexity to the problem of delay fault ATPG.
Since access to RAMs is very essential for delay testing as
critical functional timing paths mostly involves functional
paths to and from RAMs.
In paper [12], they had come up with programmable opcg
which is compatible with any design. In this no cut points
known as pseudo primary inputs is required to find, which
is very complicated if it has to be apply it for complex
circuit. The use of cut-points and pseudo-PIs requires lot of
efforts manually. Below are the procedure, the user has to do
to identify the cut-points and utilise them in programmable
test sequences:
• Cut-point is placed where an opcg control or clock comes
in a picture.
• Make a relation between the cut-points and the pseudo-PI
which is abbreviated as PPI, that is given in the test patterns
as it acts as a stimulus point. The cut points which is given
by the programmer are set in a single clock which work in a
same clock domain, will be set together.
• Initialize the sequence which has to be programmed and
start with the PLL.
• All legal clocking sequences must be given such that they
are utilized when the PPI activity needs it and all the real
events are necessary to make the OPCG programming
correct for that particular sequence.
• Verify all the steps mentioned above correctly.
In paper [10], at speed testing is done using the logic which
is built in chip. When test compression technique is applied
within the chip then it affects also on the pattern count. This
is an approach which has utilise the OPCG which actually
enables high-speed testing, ``and is very compatible with
test compression. It also enables at a time multiple domain
in OPCG mode which reduces again the data volume and
test.
3. PROPOSED ARCHITECTURE
The below figure 1 shows the on chip clock logic
The PLL will generate functional frequency clocks. PLL
output and Trigger macros is then inserted on to the OPCG
Domain. When the TESTMODE = 0 then the PLL bypass
the OPCG logic.
_______________________________________________________________________________________
Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 60
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
Fig 1: architecture of on chip clock generation
When test mode is given as 1 and the OPCGMODE is also
equal to 1, then the OPCG state machine starts generating
the high speed launch and capture pulses and when the scan
shift operation is done, the SCANCLK is used. A Trigger
Macro is inserted to remove glitch and to produce run and
reset signals which is then applied to the OPCG macros.
Once the Trigger signal is inserted, it Reset all the signals
and then reload the On Product Clock Generation state
machines to the programmed state. After few cycles Run
signal is given from trigger macro and clock is generated.
The Fig 1 shows an on product clock generation logic, the
logic is implemented in this paper with a name clock
chopper. This clock chopper is a logic for OPCG. The figure
2 shows clock chopper.
3.1 Clock Chopper
Fig 2: Clock Chopper
Clock chopper is a OPCG logic which is used to change the
clock frequency of the original signal [9]. When the original
signal is passed through the circuit, there will be delay in the
circuit due to chains of inverters, this will help in chopping
the original signal.
These invereters will make a delays for the original clocks
and adding up all these clocks with different delays will
make a new clock generation which is used for functional
clock. This pulses reference clock is given by PLL which is
working on 20 MHz frequency and it produces 500MHz
frequency which is then given to clock chopper.
Fig 3: Waveform of clock chopper
4. STUMPS BASED LBIST
The figure 4 shows the architecture of STUMPS based
LBIST. This LFSR is used for generating pseudo random
code generator. Which is passed to scan chain here in this
multiplier is used for programming and with that scan chains
are generated
Fig 4: Architecture of LBIST
_______________________________________________________________________________________
Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 61
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
In this it works when test mode is 0 then the clock will be
given from PLL and when test mode is 1 then the clock will
be from clock chopper.
Fig 5: Architecure of the design implemented
5. RESULTS
Fig 6 shows the waveform of PLL output when it is in
bypass mode, the PLL output frequency is same as reference
clock.
Figure 7 shows PLL in power down mode. This will
generate no signal for the PLL output. The PLL will be in
OFF mode.
when Reset = 1 there will be some free running cycles of
about 10MHz frequency will run as PLL output as shown in
figure 8.
The figure 9 shows the PLL output of high frequency. The
input given is 20 MHz and the output is of 400MHz. this
value can be still more increased depending on the divider
values. This mode is called locked.
Fig 6: Bypass mode
Fig 7: Power down mode.
Fig 8: PLL in reset mode.
Fig 9: PLL in locked mode
When the LBIST uses PLL when the TM is 0 the PLL has to
be in locked mode, and the LBIST takes clock from clock
chopper when TM is 1.
Fig 10: STUMPS based LBIST
Fig 11: Proposed architecture waveform
_______________________________________________________________________________________
Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 62
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
Fig 12: fault coverage of stumps based LBIST
6. CONCLUSION
The PLL is implemented with the four modes, here in this
project, we need the locked mode for functional clock,
which will be given to theclock chopper for generating the
clock for LBIST when it is in testmode and PLL 20 MHz
clock frequency will be taken as reference clock, which is
used in PLL.
The clock chopper is implemented with a clock defined by
the PLL in locked mode that is 500 MHZ. this locked mode
PLL can generate different clock frequencies by changing
the divider values and with that clock, got the output of
clock chopper. The ouput clock of PLL and output clock
of clock chopper is then given to Need to generate CCLK
and the basic stumps is generated.
REFERENCES
[1] Matthias Beck, Olivier Barondeau, Xijiang Lin, Ron
Press ,Martin Kaibel, and Frank Poehl ,”Logic Design
for On-Chip Test Clock Generation–Implementation
Details and Impact on Delay Test Quality,” IEEE J. of
Solid-State Circuits, No.3, pp.1530-1536,March 2005.
[2] Brion Keller, Anis Uzzaman, Bibo Li, and Tom
Snethen,” Using Programmable On-Product Clock
Generation (OPCG) for Delay Test ,” 16th IEEE Asian
Test Symposium, pp.69-72,2007.
[3] B. Keller, K. Chakravadhanula, B. Foutz, V.
Chickermane, R. Malneedi, T. Snethen and V.Iyengar,
D. Lackey, G. Grise ,” Low Cost At-Speed Testing
using On-Product Clock Generation Compatible with
Test Compression,” international test conference ,pp 1-
10,2010.
[4] Laung-Terng Wang, Cheng-Wen Wu, and Xiaoqing
Wen, “VLSI Test Principles and Architectures: Design
for Testability”, Morgan Kaufmann Publishers
[5] Miron Abramovici, Melvin Breuer, Arthur Friedman,
“Digital systems Testing and testable design”, Jaico
Publishers.
[6] B. Dervisoglu and G. Stong, “Design for Testability:
Using Scanpath Techniques for Path-Delay Test and
Measurement,” in Proc. Int. Test Conf. (ITC’91), pp.
365–374,1991.
[7] K. Usami, M. Igarashi, F. Minami, T. Ishikawa,
M.Kanazawa, M. Ichida, and K. Nogami,
“Automated low-power technique exploiting multiple
supplyvoltages
[8] applied to a media processor,” IEEE J. of Solid-State
Circuits, Vol.33, No.3, pp.463-472,March 1998.
[9] Delay Defect Characteristics and Testing
Strategies,K.S. Kim, S. Mitra, and P.G Ryan, Design &
Test of Computers, Vol. 20, No. 5, pp. 8–16,2010.
[10] Evaluating ATE Features in Terms of Test Escape
Rates and Other Costs of Test Culprits, J.Gatej et al.,
Proc. Int’l Test Conf (ITC02), IEEE Press, 2002,
pp.1111–1119
[11] Design For Test in Encounter RTL Compiler,”Inserting
On-Product Clock Generation Logic”, pp.368-
415,2010.
[12] Test Article, “On Product Clock generation”,pp.1-
3,2005.
[13] Anis Uzzaman and Carl Barnhart,” Delay test
generation with on-product clock generation”,pp.1-
4,2007.
_______________________________________________________________________________________
Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 63

More Related Content

What's hot

Discos: A common control software for the SRT and the other italian radiotele...
Discos: A common control software for the SRT and the other italian radiotele...Discos: A common control software for the SRT and the other italian radiotele...
Discos: A common control software for the SRT and the other italian radiotele...Sergio Poppi
 
Functional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoFunctional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoInterlatin
 
Keysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoKeysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoInterlatin
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip ValidationSMIT A. PATEL
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...ESUG
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Journals
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Publishing House
 
Introduction to the rockwell automation library of process objects
Introduction to the rockwell automation library of process objectsIntroduction to the rockwell automation library of process objects
Introduction to the rockwell automation library of process objectsIntelligentManufacturingInstitute
 
Mobile Development For Arduino 201 - ConnectTech
Mobile Development For Arduino 201 - ConnectTechMobile Development For Arduino 201 - ConnectTech
Mobile Development For Arduino 201 - ConnectTechstable|kernel
 
Boundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansBoundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansInterlatin
 
Robust PID controller design for non-minimum phase time delay systems
Robust PID controller design for non-minimum phase time delay systemsRobust PID controller design for non-minimum phase time delay systems
Robust PID controller design for non-minimum phase time delay systemsISA Interchange
 
Boundary Scan Basics - x1149 de Keysight
Boundary Scan Basics - x1149 de KeysightBoundary Scan Basics - x1149 de Keysight
Boundary Scan Basics - x1149 de KeysightInterlatin
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
Timing Analysis
Timing AnalysisTiming Analysis
Timing Analysisrchovatiya
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array) Iffat Anjum
 

What's hot (20)

Discos: A common control software for the SRT and the other italian radiotele...
Discos: A common control software for the SRT and the other italian radiotele...Discos: A common control software for the SRT and the other italian radiotele...
Discos: A common control software for the SRT and the other italian radiotele...
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
 
Functional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days BajíoFunctional Test Systems - Testing Days Bajío
Functional Test Systems - Testing Days Bajío
 
Keysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days MéxicoKeysight Mini-ICT - Testing Days México
Keysight Mini-ICT - Testing Days México
 
Analog, IO Test Chip Validation
Analog,  IO Test Chip  ValidationAnalog,  IO Test Chip  Validation
Analog, IO Test Chip Validation
 
8051
80518051
8051
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Introduction to the rockwell automation library of process objects
Introduction to the rockwell automation library of process objectsIntroduction to the rockwell automation library of process objects
Introduction to the rockwell automation library of process objects
 
Mobile Development For Arduino 201 - ConnectTech
Mobile Development For Arduino 201 - ConnectTechMobile Development For Arduino 201 - ConnectTech
Mobile Development For Arduino 201 - ConnectTech
 
Tae technologies powers up with reliable control system
Tae technologies powers up with reliable control systemTae technologies powers up with reliable control system
Tae technologies powers up with reliable control system
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
 
Brochure wc
Brochure wcBrochure wc
Brochure wc
 
Boundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansBoundary scan for support engineers and technicians
Boundary scan for support engineers and technicians
 
Robust PID controller design for non-minimum phase time delay systems
Robust PID controller design for non-minimum phase time delay systemsRobust PID controller design for non-minimum phase time delay systems
Robust PID controller design for non-minimum phase time delay systems
 
Boundary Scan Basics - x1149 de Keysight
Boundary Scan Basics - x1149 de KeysightBoundary Scan Basics - x1149 de Keysight
Boundary Scan Basics - x1149 de Keysight
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Timing Analysis
Timing AnalysisTiming Analysis
Timing Analysis
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
 

Similar to Design and implementation of modified clock generation

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesIJECEIAES
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
 
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...IJCI JOURNAL
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’sIRJET Journal
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineersMohit Mongia
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 

Similar to Design and implementation of modified clock generation (20)

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
scan_IEEE
scan_IEEEscan_IEEE
scan_IEEE
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
 
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
COMPARATIVE ANALYSIS OF SIMULATION TECHNIQUES: SCAN COMPRESSION AND INTERNAL ...
 
A02100104
A02100104A02100104
A02100104
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 
Cn33543547
Cn33543547Cn33543547
Cn33543547
 
Cn33543547
Cn33543547Cn33543547
Cn33543547
 
Burst clock controller
Burst clock controllerBurst clock controller
Burst clock controller
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineers
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 

More from eSAT Journals

Mechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementsMechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementseSAT Journals
 
Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case studyeSAT Journals
 
Managing drought short term strategies in semi arid regions a case study
Managing drought    short term strategies in semi arid regions  a case studyManaging drought    short term strategies in semi arid regions  a case study
Managing drought short term strategies in semi arid regions a case studyeSAT Journals
 
Life cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreLife cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreeSAT Journals
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialseSAT Journals
 
Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...eSAT Journals
 
Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...eSAT Journals
 
Influence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizerInfluence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizereSAT Journals
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementeSAT Journals
 
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...eSAT Journals
 
Factors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteFactors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteeSAT Journals
 
Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...eSAT Journals
 
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...eSAT Journals
 
Evaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabsEvaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabseSAT Journals
 
Evaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaEvaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaeSAT Journals
 
Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...eSAT Journals
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodeSAT Journals
 
Estimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniquesEstimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniqueseSAT Journals
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...eSAT Journals
 
Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...eSAT Journals
 

More from eSAT Journals (20)

Mechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementsMechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavements
 
Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case study
 
Managing drought short term strategies in semi arid regions a case study
Managing drought    short term strategies in semi arid regions  a case studyManaging drought    short term strategies in semi arid regions  a case study
Managing drought short term strategies in semi arid regions a case study
 
Life cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreLife cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangalore
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
 
Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...
 
Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...
 
Influence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizerInfluence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizer
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources management
 
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
 
Factors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteFactors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concrete
 
Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...
 
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
 
Evaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabsEvaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabs
 
Evaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaEvaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in india
 
Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn method
 
Estimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniquesEstimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniques
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...
 
Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...
 

Recently uploaded

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 

Recently uploaded (20)

APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 

Design and implementation of modified clock generation

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 DESIGN AND IMPLEMENTATION OF MODIFIED CLOCK GENERATION Bibi Hajira1 , Nayana M2 , Siva Yellampalli3 , Mujthaba4 1 Department of VLSI & Embedded Systems, UTL Technologies, Bangalore 2 Department of VLSI & Embedded Systems, UTL Technologies, Bangalore 3 Department of VLSI & Embedded Systems, UTL Technologies, Bangalore 4 Jain College of Engineering, Belgaum Abstract Performing delay test needs the automatic test equipment (ATE) which is used to provide the high-speed clocks, which is then used to generate at-speed test. ATE has some limitations such as it has limited number of clock pins, and is limited in supplying maximum clock frequencies. Expensive ATE has number of pins that works in high frequencies but that will be very expensive to go with to avoid that in this project at speed pulses is generated by a logic that is given to STUMP based LBIST Keywords — ATE, LBIST ---------------------------------------------------------------------***-------------------------------------------------------------------- 1. INTRODUCTION In olden days’ people used to generate at speed clock pulses using ATE. For at speed testing the number of external pins requirement will increases but ATE has limited number of pins. Some ATE are available with more number of external pins but they become expensive for generating clock pulses in Giga Hertz. As the technology is scaling down the frequency to detect delay defects has to be high in frequency. Initially ATE used for detecting the delay defects but when the frequency of operation is in GHz the ATE becomes very complicated and costly. So clock generation on chip was the best idea to come up with. The on chip clock generation without increasing the complexity will generate the speed clock by using the logic. These generated clocks is then given to LBIST. 2. LITERATURE SURVEY In paper [11], the ATPG was done manually for insertion of patterns for on chip clock generation they used Delay test ATPG. Since there is an issue with sequential nature of the ATPG, delay test in sequential ATPG is far complex as compare to stuck-at ATPG. In stuck-at ATPG, the use of more than one clock cycle during ATPG is known. Additional clock cycles are required to initialize sequential elements for example non-scan cells and RAM, they are also known as “clock sequential” or “RAM sequential” ATPG, respectively. Due to this addition of non scan cells it adds still more complexity to the problem of delay fault ATPG. Since access to RAMs is very essential for delay testing as critical functional timing paths mostly involves functional paths to and from RAMs. In paper [12], they had come up with programmable opcg which is compatible with any design. In this no cut points known as pseudo primary inputs is required to find, which is very complicated if it has to be apply it for complex circuit. The use of cut-points and pseudo-PIs requires lot of efforts manually. Below are the procedure, the user has to do to identify the cut-points and utilise them in programmable test sequences: • Cut-point is placed where an opcg control or clock comes in a picture. • Make a relation between the cut-points and the pseudo-PI which is abbreviated as PPI, that is given in the test patterns as it acts as a stimulus point. The cut points which is given by the programmer are set in a single clock which work in a same clock domain, will be set together. • Initialize the sequence which has to be programmed and start with the PLL. • All legal clocking sequences must be given such that they are utilized when the PPI activity needs it and all the real events are necessary to make the OPCG programming correct for that particular sequence. • Verify all the steps mentioned above correctly. In paper [10], at speed testing is done using the logic which is built in chip. When test compression technique is applied within the chip then it affects also on the pattern count. This is an approach which has utilise the OPCG which actually enables high-speed testing, ``and is very compatible with test compression. It also enables at a time multiple domain in OPCG mode which reduces again the data volume and test. 3. PROPOSED ARCHITECTURE The below figure 1 shows the on chip clock logic The PLL will generate functional frequency clocks. PLL output and Trigger macros is then inserted on to the OPCG Domain. When the TESTMODE = 0 then the PLL bypass the OPCG logic. _______________________________________________________________________________________ Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 60
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 Fig 1: architecture of on chip clock generation When test mode is given as 1 and the OPCGMODE is also equal to 1, then the OPCG state machine starts generating the high speed launch and capture pulses and when the scan shift operation is done, the SCANCLK is used. A Trigger Macro is inserted to remove glitch and to produce run and reset signals which is then applied to the OPCG macros. Once the Trigger signal is inserted, it Reset all the signals and then reload the On Product Clock Generation state machines to the programmed state. After few cycles Run signal is given from trigger macro and clock is generated. The Fig 1 shows an on product clock generation logic, the logic is implemented in this paper with a name clock chopper. This clock chopper is a logic for OPCG. The figure 2 shows clock chopper. 3.1 Clock Chopper Fig 2: Clock Chopper Clock chopper is a OPCG logic which is used to change the clock frequency of the original signal [9]. When the original signal is passed through the circuit, there will be delay in the circuit due to chains of inverters, this will help in chopping the original signal. These invereters will make a delays for the original clocks and adding up all these clocks with different delays will make a new clock generation which is used for functional clock. This pulses reference clock is given by PLL which is working on 20 MHz frequency and it produces 500MHz frequency which is then given to clock chopper. Fig 3: Waveform of clock chopper 4. STUMPS BASED LBIST The figure 4 shows the architecture of STUMPS based LBIST. This LFSR is used for generating pseudo random code generator. Which is passed to scan chain here in this multiplier is used for programming and with that scan chains are generated Fig 4: Architecture of LBIST _______________________________________________________________________________________ Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 61
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 In this it works when test mode is 0 then the clock will be given from PLL and when test mode is 1 then the clock will be from clock chopper. Fig 5: Architecure of the design implemented 5. RESULTS Fig 6 shows the waveform of PLL output when it is in bypass mode, the PLL output frequency is same as reference clock. Figure 7 shows PLL in power down mode. This will generate no signal for the PLL output. The PLL will be in OFF mode. when Reset = 1 there will be some free running cycles of about 10MHz frequency will run as PLL output as shown in figure 8. The figure 9 shows the PLL output of high frequency. The input given is 20 MHz and the output is of 400MHz. this value can be still more increased depending on the divider values. This mode is called locked. Fig 6: Bypass mode Fig 7: Power down mode. Fig 8: PLL in reset mode. Fig 9: PLL in locked mode When the LBIST uses PLL when the TM is 0 the PLL has to be in locked mode, and the LBIST takes clock from clock chopper when TM is 1. Fig 10: STUMPS based LBIST Fig 11: Proposed architecture waveform _______________________________________________________________________________________ Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 62
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 Fig 12: fault coverage of stumps based LBIST 6. CONCLUSION The PLL is implemented with the four modes, here in this project, we need the locked mode for functional clock, which will be given to theclock chopper for generating the clock for LBIST when it is in testmode and PLL 20 MHz clock frequency will be taken as reference clock, which is used in PLL. The clock chopper is implemented with a clock defined by the PLL in locked mode that is 500 MHZ. this locked mode PLL can generate different clock frequencies by changing the divider values and with that clock, got the output of clock chopper. The ouput clock of PLL and output clock of clock chopper is then given to Need to generate CCLK and the basic stumps is generated. REFERENCES [1] Matthias Beck, Olivier Barondeau, Xijiang Lin, Ron Press ,Martin Kaibel, and Frank Poehl ,”Logic Design for On-Chip Test Clock Generation–Implementation Details and Impact on Delay Test Quality,” IEEE J. of Solid-State Circuits, No.3, pp.1530-1536,March 2005. [2] Brion Keller, Anis Uzzaman, Bibo Li, and Tom Snethen,” Using Programmable On-Product Clock Generation (OPCG) for Delay Test ,” 16th IEEE Asian Test Symposium, pp.69-72,2007. [3] B. Keller, K. Chakravadhanula, B. Foutz, V. Chickermane, R. Malneedi, T. Snethen and V.Iyengar, D. Lackey, G. Grise ,” Low Cost At-Speed Testing using On-Product Clock Generation Compatible with Test Compression,” international test conference ,pp 1- 10,2010. [4] Laung-Terng Wang, Cheng-Wen Wu, and Xiaoqing Wen, “VLSI Test Principles and Architectures: Design for Testability”, Morgan Kaufmann Publishers [5] Miron Abramovici, Melvin Breuer, Arthur Friedman, “Digital systems Testing and testable design”, Jaico Publishers. [6] B. Dervisoglu and G. Stong, “Design for Testability: Using Scanpath Techniques for Path-Delay Test and Measurement,” in Proc. Int. Test Conf. (ITC’91), pp. 365–374,1991. [7] K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M.Kanazawa, M. Ichida, and K. Nogami, “Automated low-power technique exploiting multiple supplyvoltages [8] applied to a media processor,” IEEE J. of Solid-State Circuits, Vol.33, No.3, pp.463-472,March 1998. [9] Delay Defect Characteristics and Testing Strategies,K.S. Kim, S. Mitra, and P.G Ryan, Design & Test of Computers, Vol. 20, No. 5, pp. 8–16,2010. [10] Evaluating ATE Features in Terms of Test Escape Rates and Other Costs of Test Culprits, J.Gatej et al., Proc. Int’l Test Conf (ITC02), IEEE Press, 2002, pp.1111–1119 [11] Design For Test in Encounter RTL Compiler,”Inserting On-Product Clock Generation Logic”, pp.368- 415,2010. [12] Test Article, “On Product Clock generation”,pp.1- 3,2005. [13] Anis Uzzaman and Carl Barnhart,” Delay test generation with on-product clock generation”,pp.1- 4,2007. _______________________________________________________________________________________ Volume: 05 Issue: 08 | Aug-2016, Available @ http://ijret.esatjournals.org 63