SlideShare a Scribd company logo
Data flow modelling
Modeling the Dataflow way
• Uses statements that defines the actual flow of
data.....
such as,
x <= y -- this is NOT less than equal
This assigns the boolean signal x to the value of
boolean signal y... i.e. x = y
this will occur whenever y changes....
Concurrent Statements
● Concurrent statements are executed at the same time,
independent of the order in which they appear
architecture……
Begin
A<=B;
Z<=A;
End;
Process model(in behavioral modeling)
for this
Architecture..
Begin
process (B)
A<=B;
Z<=A;
end process;
end;
Three inverting buffers
entity INV is
port (A:in bit; Z:out bit);
end INV;
architecture DELAY of INV is
signal B,C : bit;
begin
Z <= not C;
C <= not B;
B <= not A;
end DELAY;
Conditional Signal Assignment –
When - Else
● Condition is a boolean expression
● Mandatory else path, unless unconditional assignment
● conditions may overlap
● Equivalent of if ..., elsif ..., else constructs
TARGET <= VALUE;
TARGET <= VALUE_1 when CONDITION_1 else
VALUE_2 when CONDITION_2 else
. . .
VALUE_n;
● Note that the condition clauses must evaluate to a
logical expression.
entity CONDITIONAL_ASSIGNMENT is
port (A, B, C, X : in bit_vector (3 downto 0);
Z_CONC : out bit_vector (3 downto 0);
Z_SEQ : out bit_vector (3 downto 0));
end CONDITIONAL_ASSIGNMENT;
architecture EXAMPLE of CONDITIONAL_ASSIGNMENT is
begin
-- Concurrent version of conditional signal assignment
Z_CONC <= B when X = "1111" else
C when X > "1000" else
A;
-- Equivalent sequential statements
process (A, B, C, X)
begin
if (X = "1111") then
Z_SEQ <= B;
elsif (X > "1000") then
Z_SEQ <= C;
else
Z_SEQ <= A;
end if;
end process;
end EXAMPLE;
4 to 1 Mux (Conditional Concurrent
Form)
Z <= A when s = “00” else
B when s = “01” else
C when s = “10” else
D;
• In the last case, we did not specify a condition; this
is the “when no other condition is met” case.
• Note also that we can conditionalize the last case by
if so, we must ensure that all possible condition
combinations are addressed.
Selected Signal Assignment –
with - select
with EXPRESSION select
TARGET <= VALUE_1 when CHOICE_1,
VALUE_2 when CHOICE_2 | CHOICE_3,
VALUE_3 when CHOICE_4 to CHOICE_5,
· · ·
VALUE_n when others
● The “choices” are values of the discriminator; either.
– single value: when “0001”,
– multiple values: when “0100” | “0110” | “1000”,
– value range: when“1010” to “1111”,
– everything else: when others;
● The last case “when others” must be the last clause if used
● Comma separates clauses, semicolon ends the statement
entity SELECTED_ASSIGNMENT is
port (A, B, C, X : in integer range 0 to 15;
Z_CONC : out integer range 0 to 15;
Z_SEQ : out integer range 0 to 15);
end SELECTED_ASSIGNMENT;
architecture EXAMPLE of SELECTED_ASSIGNMENT is
begin
-- Concurrent version of selected signal assignment
with X select
Z_CONC <= A when 0,
B when 7 | 9,
C when 1 to 5,
0 when others;
-- Equivalent sequential statements
process (A, B, C, X)
begin
case X is
when 0 => Z_SEQ <= A;
when 7 | 9 => Z_SEQ <= B;
when 1 to 5 => Z_SEQ <= C;
when others => Z_SEQ <= 0;
end process;
end EXAMPLE;
The UNAFFECTED value
MARK_FLAG <= BKDET after 5 ns when STORBE = „0‟ else
unaffected;
● unaffected is equivalent to null statement
Process
Begin
if STROBE = „0‟ then
MARK_DET <= BKDET after 5 ns;
else null;
end if;
wait on STROBE, BKDET;
end process
Exercise Questions
● 1. 3-to-8 decoder with enable input and active-high
output (Using concurrent signal assignment
statements).
● 2. 3-to-8 decoder with enable input and active high
output (Using With…..select….)
● 3. Three –input majority function.
● 4. Three –input minority function.
● 5. Tri-state buffer with propagation delay of 10ns
(try using when….else statement)
● 6. Three-input ex-nor gate
References
● [1]. “Digital Systems Design Using VHDL” by
Charles H Roth, Jr., Thomson Learining,
Brooks/Cole.
● [2]. “VHDL Primer” by J Bhasker, PHI, Third
edition.

More Related Content

What's hot

verilog interview
verilog interview verilog interview
verilog interview
Maitrik Shah
 
Conditional & Cast Operator
Conditional & Cast OperatorConditional & Cast Operator
Conditional & Cast Operator
Jeeban Mishra
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
Shankar Bhukya
 
For Loop
For LoopFor Loop
For Loop
Ghaffar Khan
 
C if else
C if elseC if else
C if else
Ritwik Das
 
Conditional statements
Conditional statementsConditional statements
Conditional statements
NabishaAK
 
Chapter 02 differentiation
Chapter 02 differentiationChapter 02 differentiation
Chapter 02 differentiation
Chaïma Chaouachi
 
MATLAB Programming - Loop Control Part 2
MATLAB Programming - Loop Control Part 2MATLAB Programming - Loop Control Part 2
MATLAB Programming - Loop Control Part 2
Shameer Ahmed Koya
 
7 decision-control
7 decision-control7 decision-control
7 decision-control
Rohit Shrivastava
 
Branching in C
Branching in CBranching in C
Branching in C
Prabhu Govind
 
Decision Control Structure If & Else
Decision Control Structure If & ElseDecision Control Structure If & Else
Decision Control Structure If & Else
Abdullah Bhojani
 
C Programming Language Step by Step Part 5
C Programming Language Step by Step Part 5C Programming Language Step by Step Part 5
C Programming Language Step by Step Part 5
Rumman Ansari
 
C programming
C programmingC programming
Python Conditionals and Functions
Python Conditionals and FunctionsPython Conditionals and Functions
Python Conditionals and Functions
Pooja B S
 
C lecture 4 nested loops and jumping statements slideshare
C lecture 4 nested loops and jumping statements slideshareC lecture 4 nested loops and jumping statements slideshare
C lecture 4 nested loops and jumping statements slideshare
Gagan Deep
 
Intro to c chapter cover 1 4
Intro to c chapter cover 1 4Intro to c chapter cover 1 4
Intro to c chapter cover 1 4
Hazwan Arif
 
Loops in c
Loops in cLoops in c
Loops in c
RekhaBudhwar
 
C++ control structure
C++ control structureC++ control structure
C++ control structure
bluejayjunior
 
Lecture#4 Algorithm and computing
Lecture#4 Algorithm and computingLecture#4 Algorithm and computing
Lecture#4 Algorithm and computing
NUST Stuff
 

What's hot (19)

verilog interview
verilog interview verilog interview
verilog interview
 
Conditional & Cast Operator
Conditional & Cast OperatorConditional & Cast Operator
Conditional & Cast Operator
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
 
For Loop
For LoopFor Loop
For Loop
 
C if else
C if elseC if else
C if else
 
Conditional statements
Conditional statementsConditional statements
Conditional statements
 
Chapter 02 differentiation
Chapter 02 differentiationChapter 02 differentiation
Chapter 02 differentiation
 
MATLAB Programming - Loop Control Part 2
MATLAB Programming - Loop Control Part 2MATLAB Programming - Loop Control Part 2
MATLAB Programming - Loop Control Part 2
 
7 decision-control
7 decision-control7 decision-control
7 decision-control
 
Branching in C
Branching in CBranching in C
Branching in C
 
Decision Control Structure If & Else
Decision Control Structure If & ElseDecision Control Structure If & Else
Decision Control Structure If & Else
 
C Programming Language Step by Step Part 5
C Programming Language Step by Step Part 5C Programming Language Step by Step Part 5
C Programming Language Step by Step Part 5
 
C programming
C programmingC programming
C programming
 
Python Conditionals and Functions
Python Conditionals and FunctionsPython Conditionals and Functions
Python Conditionals and Functions
 
C lecture 4 nested loops and jumping statements slideshare
C lecture 4 nested loops and jumping statements slideshareC lecture 4 nested loops and jumping statements slideshare
C lecture 4 nested loops and jumping statements slideshare
 
Intro to c chapter cover 1 4
Intro to c chapter cover 1 4Intro to c chapter cover 1 4
Intro to c chapter cover 1 4
 
Loops in c
Loops in cLoops in c
Loops in c
 
C++ control structure
C++ control structureC++ control structure
C++ control structure
 
Lecture#4 Algorithm and computing
Lecture#4 Algorithm and computingLecture#4 Algorithm and computing
Lecture#4 Algorithm and computing
 

Viewers also liked

SMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
SMi Group's 5th annual Pharmaceutical Microbiology 2016 conferenceSMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
SMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
Dale Butler
 
MTAS application
MTAS applicationMTAS application
MTAS application
meducationdotnet
 
Castillo
CastilloCastillo
SabeeApp_www_logo_color copy
SabeeApp_www_logo_color copySabeeApp_www_logo_color copy
SabeeApp_www_logo_color copyZsolt Moncsek
 
GroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield DevelopmentsGroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield Developments
Olawale B. SAMUEL, PMP®
 
Folletos
FolletosFolletos
Format of accomplishment report cy2015
Format of accomplishment report cy2015Format of accomplishment report cy2015
Format of accomplishment report cy2015
Jashey Dee
 
Will conducting identity verification reduce the risks?
Will conducting identity verification reduce the risks?Will conducting identity verification reduce the risks?
Will conducting identity verification reduce the risks?
Ritika Sharma
 
Quarterly ALS-MEA 2016
Quarterly ALS-MEA 2016Quarterly ALS-MEA 2016
Quarterly ALS-MEA 2016
Vicente Antofina
 
absence management webinar for schools and academies - Vicky Berry - Septembe...
absence management webinar for schools and academies - Vicky Berry - Septembe...absence management webinar for schools and academies - Vicky Berry - Septembe...
absence management webinar for schools and academies - Vicky Berry - Septembe...
Browne Jacobson LLP
 
Report_FAT1_Final
Report_FAT1_FinalReport_FAT1_Final
Report_FAT1_Final
Ashwin Gadgil
 
Management , maintenance and inspection of science laboratory
Management , maintenance and inspection of science laboratoryManagement , maintenance and inspection of science laboratory
Management , maintenance and inspection of science laboratory
Zahoor Ahmad
 

Viewers also liked (12)

SMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
SMi Group's 5th annual Pharmaceutical Microbiology 2016 conferenceSMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
SMi Group's 5th annual Pharmaceutical Microbiology 2016 conference
 
MTAS application
MTAS applicationMTAS application
MTAS application
 
Castillo
CastilloCastillo
Castillo
 
SabeeApp_www_logo_color copy
SabeeApp_www_logo_color copySabeeApp_www_logo_color copy
SabeeApp_www_logo_color copy
 
GroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield DevelopmentsGroupD_Low Cost Subsea Processing System for Brownfield Developments
GroupD_Low Cost Subsea Processing System for Brownfield Developments
 
Folletos
FolletosFolletos
Folletos
 
Format of accomplishment report cy2015
Format of accomplishment report cy2015Format of accomplishment report cy2015
Format of accomplishment report cy2015
 
Will conducting identity verification reduce the risks?
Will conducting identity verification reduce the risks?Will conducting identity verification reduce the risks?
Will conducting identity verification reduce the risks?
 
Quarterly ALS-MEA 2016
Quarterly ALS-MEA 2016Quarterly ALS-MEA 2016
Quarterly ALS-MEA 2016
 
absence management webinar for schools and academies - Vicky Berry - Septembe...
absence management webinar for schools and academies - Vicky Berry - Septembe...absence management webinar for schools and academies - Vicky Berry - Septembe...
absence management webinar for schools and academies - Vicky Berry - Septembe...
 
Report_FAT1_Final
Report_FAT1_FinalReport_FAT1_Final
Report_FAT1_Final
 
Management , maintenance and inspection of science laboratory
Management , maintenance and inspection of science laboratoryManagement , maintenance and inspection of science laboratory
Management , maintenance and inspection of science laboratory
 

Similar to Ddhdl 16

Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
Bhupendra Pratap Singh
 
Conditional Control in MATLAB Scripts
Conditional Control in MATLAB ScriptsConditional Control in MATLAB Scripts
Conditional Control in MATLAB Scripts
Shameer Ahmed Koya
 
Chapter 3 Conditional Statements&Looping (1).pptx
Chapter 3 Conditional Statements&Looping (1).pptxChapter 3 Conditional Statements&Looping (1).pptx
Chapter 3 Conditional Statements&Looping (1).pptx
burkagemechu
 
Verilog-Behavioral Modeling .pdf
Verilog-Behavioral Modeling .pdfVerilog-Behavioral Modeling .pdf
Verilog-Behavioral Modeling .pdf
UsssshaaaMehta
 
Introduction to Selection control structures in C++
Introduction to Selection control structures in C++ Introduction to Selection control structures in C++
Introduction to Selection control structures in C++
Neeru Mittal
 
Control Structures.pptx
Control Structures.pptxControl Structures.pptx
Control Structures.pptx
ssuserfb3c3e
 
Mesics lecture 6 control statement = if -else if__else
Mesics lecture 6   control statement = if -else if__elseMesics lecture 6   control statement = if -else if__else
Mesics lecture 6 control statement = if -else if__else
eShikshak
 
Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
anand hd
 
Sas tutorial glm1
Sas tutorial glm1Sas tutorial glm1
Sas tutorial glm1
WenSheng Chang
 
Python unit 3 and Unit 4
Python unit 3 and Unit 4Python unit 3 and Unit 4
Python unit 3 and Unit 4
Anandh Arumugakan
 
CONTROL FLOW in C.pptx
CONTROL FLOW in C.pptxCONTROL FLOW in C.pptx
CONTROL FLOW in C.pptx
SmitaAparadh
 
If statements in c programming
If statements in c programmingIf statements in c programming
If statements in c programming
Archana Gopinath
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
Padmanaban Kalyanaraman
 
Assembly Language Lecture 5
Assembly Language Lecture 5Assembly Language Lecture 5
Assembly Language Lecture 5
Motaz Saad
 
Selection Statements in C Programming
Selection Statements in C ProgrammingSelection Statements in C Programming
Selection Statements in C Programming
Kamal Acharya
 
CHAPTER-3a.ppt
CHAPTER-3a.pptCHAPTER-3a.ppt
CHAPTER-3a.ppt
Tekle12
 
Control structures in C++ Programming Language
Control structures in C++ Programming LanguageControl structures in C++ Programming Language
Control structures in C++ Programming Language
Ahmad Idrees
 
COM1407: Program Control Structures – Repetition and Loops
COM1407: Program Control Structures – Repetition and Loops COM1407: Program Control Structures – Repetition and Loops
COM1407: Program Control Structures – Repetition and Loops
Hemantha Kulathilake
 
Hd5
Hd5Hd5
Lect3-C--EEB.pptx
Lect3-C--EEB.pptxLect3-C--EEB.pptx
Lect3-C--EEB.pptx
KIJAMALEGI
 

Similar to Ddhdl 16 (20)

Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
Conditional Control in MATLAB Scripts
Conditional Control in MATLAB ScriptsConditional Control in MATLAB Scripts
Conditional Control in MATLAB Scripts
 
Chapter 3 Conditional Statements&Looping (1).pptx
Chapter 3 Conditional Statements&Looping (1).pptxChapter 3 Conditional Statements&Looping (1).pptx
Chapter 3 Conditional Statements&Looping (1).pptx
 
Verilog-Behavioral Modeling .pdf
Verilog-Behavioral Modeling .pdfVerilog-Behavioral Modeling .pdf
Verilog-Behavioral Modeling .pdf
 
Introduction to Selection control structures in C++
Introduction to Selection control structures in C++ Introduction to Selection control structures in C++
Introduction to Selection control structures in C++
 
Control Structures.pptx
Control Structures.pptxControl Structures.pptx
Control Structures.pptx
 
Mesics lecture 6 control statement = if -else if__else
Mesics lecture 6   control statement = if -else if__elseMesics lecture 6   control statement = if -else if__else
Mesics lecture 6 control statement = if -else if__else
 
Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
 
Sas tutorial glm1
Sas tutorial glm1Sas tutorial glm1
Sas tutorial glm1
 
Python unit 3 and Unit 4
Python unit 3 and Unit 4Python unit 3 and Unit 4
Python unit 3 and Unit 4
 
CONTROL FLOW in C.pptx
CONTROL FLOW in C.pptxCONTROL FLOW in C.pptx
CONTROL FLOW in C.pptx
 
If statements in c programming
If statements in c programmingIf statements in c programming
If statements in c programming
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 
Assembly Language Lecture 5
Assembly Language Lecture 5Assembly Language Lecture 5
Assembly Language Lecture 5
 
Selection Statements in C Programming
Selection Statements in C ProgrammingSelection Statements in C Programming
Selection Statements in C Programming
 
CHAPTER-3a.ppt
CHAPTER-3a.pptCHAPTER-3a.ppt
CHAPTER-3a.ppt
 
Control structures in C++ Programming Language
Control structures in C++ Programming LanguageControl structures in C++ Programming Language
Control structures in C++ Programming Language
 
COM1407: Program Control Structures – Repetition and Loops
COM1407: Program Control Structures – Repetition and Loops COM1407: Program Control Structures – Repetition and Loops
COM1407: Program Control Structures – Repetition and Loops
 
Hd5
Hd5Hd5
Hd5
 
Lect3-C--EEB.pptx
Lect3-C--EEB.pptxLect3-C--EEB.pptx
Lect3-C--EEB.pptx
 

Recently uploaded

哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
co23btech11018
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
UReason
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
jpsjournal1
 
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.pptUnit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
KrishnaveniKrishnara1
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
Roger Rozario
 
Certificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi AhmedCertificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi Ahmed
Mahmoud Morsy
 
artificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptxartificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptx
GauravCar
 
AI assisted telemedicine KIOSK for Rural India.pptx
AI assisted telemedicine KIOSK for Rural India.pptxAI assisted telemedicine KIOSK for Rural India.pptx
AI assisted telemedicine KIOSK for Rural India.pptx
architagupta876
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
171ticu
 
BRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdfBRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdf
LAXMAREDDY22
 
Hematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood CountHematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood Count
shahdabdulbaset
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 
Curve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods RegressionCurve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods Regression
Nada Hikmah
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...
Prakhyath Rai
 
The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.
sachin chaurasia
 

Recently uploaded (20)

哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
 
Data Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason WebinarData Driven Maintenance | UReason Webinar
Data Driven Maintenance | UReason Webinar
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTCHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
 
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.pptUnit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
Transformers design and coooling methods
Transformers design and coooling methodsTransformers design and coooling methods
Transformers design and coooling methods
 
Certificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi AhmedCertificates - Mahmoud Mohamed Moursi Ahmed
Certificates - Mahmoud Mohamed Moursi Ahmed
 
artificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptxartificial intelligence and data science contents.pptx
artificial intelligence and data science contents.pptx
 
AI assisted telemedicine KIOSK for Rural India.pptx
AI assisted telemedicine KIOSK for Rural India.pptxAI assisted telemedicine KIOSK for Rural India.pptx
AI assisted telemedicine KIOSK for Rural India.pptx
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
 
BRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdfBRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdf
 
Hematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood CountHematology Analyzer Machine - Complete Blood Count
Hematology Analyzer Machine - Complete Blood Count
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 
Curve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods RegressionCurve Fitting in Numerical Methods Regression
Curve Fitting in Numerical Methods Regression
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...Software Engineering and Project Management - Introduction, Modeling Concepts...
Software Engineering and Project Management - Introduction, Modeling Concepts...
 
The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.The Python for beginners. This is an advance computer language.
The Python for beginners. This is an advance computer language.
 

Ddhdl 16

  • 2. Modeling the Dataflow way • Uses statements that defines the actual flow of data..... such as, x <= y -- this is NOT less than equal This assigns the boolean signal x to the value of boolean signal y... i.e. x = y this will occur whenever y changes....
  • 3. Concurrent Statements ● Concurrent statements are executed at the same time, independent of the order in which they appear architecture…… Begin A<=B; Z<=A; End;
  • 4. Process model(in behavioral modeling) for this Architecture.. Begin process (B) A<=B; Z<=A; end process; end;
  • 5. Three inverting buffers entity INV is port (A:in bit; Z:out bit); end INV; architecture DELAY of INV is signal B,C : bit; begin Z <= not C; C <= not B; B <= not A; end DELAY;
  • 6.
  • 7. Conditional Signal Assignment – When - Else ● Condition is a boolean expression ● Mandatory else path, unless unconditional assignment ● conditions may overlap ● Equivalent of if ..., elsif ..., else constructs
  • 8. TARGET <= VALUE; TARGET <= VALUE_1 when CONDITION_1 else VALUE_2 when CONDITION_2 else . . . VALUE_n; ● Note that the condition clauses must evaluate to a logical expression.
  • 9. entity CONDITIONAL_ASSIGNMENT is port (A, B, C, X : in bit_vector (3 downto 0); Z_CONC : out bit_vector (3 downto 0); Z_SEQ : out bit_vector (3 downto 0)); end CONDITIONAL_ASSIGNMENT; architecture EXAMPLE of CONDITIONAL_ASSIGNMENT is begin -- Concurrent version of conditional signal assignment Z_CONC <= B when X = "1111" else C when X > "1000" else A;
  • 10. -- Equivalent sequential statements process (A, B, C, X) begin if (X = "1111") then Z_SEQ <= B; elsif (X > "1000") then Z_SEQ <= C; else Z_SEQ <= A; end if; end process; end EXAMPLE;
  • 11. 4 to 1 Mux (Conditional Concurrent Form) Z <= A when s = “00” else B when s = “01” else C when s = “10” else D; • In the last case, we did not specify a condition; this is the “when no other condition is met” case. • Note also that we can conditionalize the last case by if so, we must ensure that all possible condition combinations are addressed.
  • 12. Selected Signal Assignment – with - select with EXPRESSION select TARGET <= VALUE_1 when CHOICE_1, VALUE_2 when CHOICE_2 | CHOICE_3, VALUE_3 when CHOICE_4 to CHOICE_5, · · · VALUE_n when others
  • 13. ● The “choices” are values of the discriminator; either. – single value: when “0001”, – multiple values: when “0100” | “0110” | “1000”, – value range: when“1010” to “1111”, – everything else: when others; ● The last case “when others” must be the last clause if used ● Comma separates clauses, semicolon ends the statement
  • 14. entity SELECTED_ASSIGNMENT is port (A, B, C, X : in integer range 0 to 15; Z_CONC : out integer range 0 to 15; Z_SEQ : out integer range 0 to 15); end SELECTED_ASSIGNMENT; architecture EXAMPLE of SELECTED_ASSIGNMENT is begin -- Concurrent version of selected signal assignment with X select Z_CONC <= A when 0, B when 7 | 9, C when 1 to 5, 0 when others;
  • 15. -- Equivalent sequential statements process (A, B, C, X) begin case X is when 0 => Z_SEQ <= A; when 7 | 9 => Z_SEQ <= B; when 1 to 5 => Z_SEQ <= C; when others => Z_SEQ <= 0; end process; end EXAMPLE;
  • 16. The UNAFFECTED value MARK_FLAG <= BKDET after 5 ns when STORBE = „0‟ else unaffected; ● unaffected is equivalent to null statement Process Begin if STROBE = „0‟ then MARK_DET <= BKDET after 5 ns; else null; end if; wait on STROBE, BKDET; end process
  • 17. Exercise Questions ● 1. 3-to-8 decoder with enable input and active-high output (Using concurrent signal assignment statements). ● 2. 3-to-8 decoder with enable input and active high output (Using With…..select….) ● 3. Three –input majority function. ● 4. Three –input minority function. ● 5. Tri-state buffer with propagation delay of 10ns (try using when….else statement) ● 6. Three-input ex-nor gate
  • 18. References ● [1]. “Digital Systems Design Using VHDL” by Charles H Roth, Jr., Thomson Learining, Brooks/Cole. ● [2]. “VHDL Primer” by J Bhasker, PHI, Third edition.