SlideShare a Scribd company logo
1 of 144
group
Giuseppe Maruccio
giuseppe.maruccio@unisalento.it
Giuseppe Maruccio
Omnics Research Group
Dip. di Matematica e Fisica, Università del Salento,
CNR NANOTEC - Institute of Nanotechnology
Via per Arnesano, 73100, Lecce (Italy)
Micro- and Nano-fabrication
giuseppe.maruccio@unisalento.it
Notes for the readers
My slides are not original at all!
I prepared these presentations employing material/images/slides/videos from books,
presentations found on the web and youtube too. I tried to merge the most relevant
information and the images/schemes/tables that I found more interesting and useful to
explain the discussed concepts in order to provide my students a unique file on each topics
(and my research group a useful reference tool). For this reason, however, in some slides the
notation can change and I still have to correct this issue in a consistent manner.
In other cases, (especially at the beginning of my work), I unfortunately lost the reference
about the original presentation which could be not acknowledged correctly. Thus, first of all
my apologizes to the authors and I invite all the readers to write me about missing references
and corrections in order to modify the slides soon.
You can also write me to suggest changes, updates and topics to be added. I will be pleased
to consider them.
In the uploaded version I removed the videos to reduce the file size. You can write to ask me
a link for the full version.
Sorry if some slides are still in Italian.
If you are interested in collaborations, joint
activities and exchange visits, please write me.
giuseppe.maruccio@unisalento.it
Calculators and
Miniaturization
trends
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
4
1643 Pascalina: precorritrice della moderna calcolatrice,
inventata dal filosofo e matematico francese Blaise Pascal,
permette di addizionare e sottrarre tenendo conto del riporto
1853 Calcolatrice Meccanica Scheutz: calcolatore
automatico meccanico
1943. I think there is no a world market for may-be more than
five computers
1949. Computers in the future may weigh no more than 1.5 tons
1977. There is no reason for any individual to have a computer
(this company does not exist anymore!)
Calculator evolution
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Kryder law
RAM
Miniaturization & Moore law
• Nel 1965 predisse la famosa legge omonima: numero di transistor per chip raddoppiato ogni 2 anni.
• Questa legge è stata rispettata negli ultimi 40 anni e si pensa possa valere sino al 2010
1950 2000
5
J.Mat.Chem. 14, 542 (2004)
Moore
law
1970 1980 1990 2000 2010 2020 2030
1
10
100
1000
H
i
g
h
m
o
b
i
l
i
t
y
O
r
g
a
n
i
c
F
E
T
S
i
n
g
l
e
e
l
e
c
t
r
o
n
m
o
l
e
c
u
l
a
r
n
e
t
w
o
r
k
s
40M transistors/cm
2
4M transistors/cm
2
250 K transistors/cm
2
2000 transistors/cm
2
H
u
m
a
n
o
i
d
T
e
c
h
n
o
l
o
g
i
e
s
H
y
b
r
i
d
N
a
n
o
e
l
e
c
t
r
o
n
i
c
D
e
v
i
c
e
s
D
N
A
c
o
n
d
u
c
t
i
v
i
t
y
(
c
o
n
t
r
o
v
e
r
s
y
)
S
i
n
g
l
e
M
o
l
e
c
u
l
e
C
o
n
d
u
c
t
a
n
c
e
P
o
l
y
m
e
r
a
s
e
C
h
a
i
n
R
e
a
c
t
i
o
n
S
T
M
i
n
v
e
n
t
i
o
n
A
v
i
r
a
m
R
a
t
n
e
r
M
o
l
e
c
u
l
a
r
R
e
c
t
i
f
i
c
a
t
i
o
n
I
N
T
E
L
8
0
0
8
FEATURE
SIZE
(nm)
YEAR
THE ROAD MAP
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
6
Evolution of Computer Power/Cost
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
7
giuseppe.maruccio@unisalento.it
Process of Microfabrication / Lecture Content
Single crystal
growing
Wafer
slicing
Film
deposition
Oxidation
Diffusion Ion
implantation
Etching Lithography
Metallization Bonding Packaging Testing
8
giuseppe.maruccio@unisalento.it
Semiconductor
technologies &
growth
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Silicon Electronics & Growth
 Silicon crystal structure is regular, well-understood, and to a large extent controllable.
 In a perfect crystal, each of silicon’s four outer electrons form covalent bonds, resulting in
poor electron mobility (i.e. insulating)
 Doping silicon with impurities alters electron mobility (i.e. semiconducting)
• Extra electron (“N-type”, with phosphorous, for example)
• Missing electron (“P-type”, with boron, for example)
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth
10
• Slicing step includes
 Slice the ingot into slices using a diamond saw
 Polish the surface, and
 Sort
 Silicon occurs naturally in the forms of silicon dioxide and various silicates and hence,
must be purified by:
• Heating to produce 95% ~ 98% pure polycrystalline silicon
• Using Czochralski (CZ) process to grow single crystal silicon
1 rev/s
10 m/s
giuseppe.maruccio@unisalento.it
From Sand To Chip
giuseppe.maruccio@unisalento.it
Bulk Semiconductor
giuseppe.maruccio@unisalento.it
Microfabrication
Techniques
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Clean room environment
14
A clean environment designed to reduce the contamination of processes and materials. This is
accomplished by removing or reducing contamination sources.
 Air is highly (HEPA) filtered (99.99% @ 0.3)
 Layout should minimize particle sources in filtered air stream
 Air flow should remove most particles generated by process
CONTAMINANTS
 Particulate: Dust, skin, hair, makeup…
 Chemical: Oil, grease, metal ions, perfume…
 Biological: Bacteria, fungi,…
 Radiation: Ultraviolet light…
• Personnel Control
• Dress code
• Personal Hygiene
• Gowning
• Environmental Control
• Entrance and exit
• Materials and supplies
• Cleaning and maintenance
• Atmospheric
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Particles control
15
• 50 micron particles are visible
• Average human hair is about 100 microns
• Time to fall 1 meter in still air
• 33 seconds for 10 micron particle
• 48 minutes for 1 micron particle
• Humans generate >1x105 particles per minute when motionless (fully
gowned)
• Humans can generate >1x106 particles when walking in the cleanroom
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Lithography Process
Prepare
Substrate
Apply
Photoresist
Softbake
Expose
Develop
Post
Exposure
Bake
lithography is generally followed by a process which transfers
the pattern from the resist to a substrate
via etching, growth of a material in the interstices of the
resist , or doping
Photo
Changing light source =
type of lithography
UV Light
X-ray
16
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Types of Lithography
A. Photolithography (optical, UV, EUV)
B. E-beam/ion-beam/Neutral atomic beam
lithography
C. X-ray lithography
D. Interference lithography
E. Scanning Probe
F. Shadow mask, Nanotemplates &
Colloidal Lithography
G. Soft Lithography & Nanoimprint
H. Self Assembly
17
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Miniaturization & Technology Nodes
18
Research Required Development Underway Qualification/Pre-Production
This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution.
2007 2010
2001 2013 2016
First Year of IC Production 2004
Technology
Options
at
Technology
Nodes
(DRAM
Half
Pitch,
nm
248 nm + PSM
193 nm
193nm + PSM
PEL
157 nm
EUV, EPL
ML2
IPL, PEL, PXL
Narrow
Options
EUV
EPL
ML2
IPL, PEL, PXL
EPL, EPL
ML2
Innovative technology
IPL, PEL, XPL
Narrow
Options
Narrow
Options
130
90
65
45
32
22
DRAM Half Pitch
(Dense Lines)
Narrow
Options
EUV
EPL
ML2
IPL, PEL, PXL
EUV = extreme
ultraviolet
EPL = electron
projection
lithography
ML2 = maskless
lithography
IPL = ion projection
lithography
PXL = proximity x-ray
lithography
PEL = proximity
electron
lithography
Lithography
Costs
giuseppe.maruccio@unisalento.it
II-A. Optical
Lithography
and EUV
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Photolithography
• KrF λ=248nm
• ArF λ=193nm
• F2 λ=157nm
• Smaller features need smaller wavelengths of light
– UV: 365nm - 436nm
– Deep UV (DUV): 157nm - 250nm
– Extreme UV (EUV): 11nm - 14nm
– X-ray: < 10nm
• Photolithography is a process by which an image is optically transferred
from one surface to another, most commonly by the projection of light through
a mask onto a photosensitive material.
• Photoresist is a material that changes molecular structure when exposed to
radiation (e.g. ultraviolet light). It typically consists of a polymer resin, a
radiation sensitizer, and a carrier solvent.
• A photomask is typically manifested as a glass plate with a thin metal layer,
that is selectively patterned to define opaque and transparent regions.
20
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Prepare
Substrate
Apply
Photoresist
Softbake
Expose
Develop
Post
Exposure
Bake
2. Apply Positive Photoresist
3. Softbake
1. Prepare Substrate
4. Expose
5. Develop
21
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Three types:
– Contact: The mask is directly against the substrate – good minimum feature size, bad for the mask and
substrate to touch
– Proximity: The mask is a few m away from the substrate – degrades minimum feature size but good
for reliability because mask doesn’t touch substrate
– Projection: Lenses are used to focus the mask’s image onto the substrate – good minimum feature
size, good for reliability
A positive photoresist is
weakened by radiation
exposure, so the remaining
pattern after being subject to a
developer solution looks just
like the opaque regions of the
mask
A negative photoresist is
strengthened by radiation
exposure, so the remaining
pattern after being subject to a
developer solution appears as
the inverse of the opaque
regions of the mask.
22
Photolithography
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Photolithography – Step by Step
23
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Intel –The Making of a Microchip
24
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
25
Circuit fabrication (PCB)
giuseppe.maruccio@unisalento.it
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Lithography Techniques
dot.che.gatech.edu
Light sources: Hg arc lamp (l0=436, 365, 248 nm)
KrF laser (l0=248 nm), ArF laser (l0=193 nm),
F2 laser (l0=157 nm)
2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010
60
80
100
120
140
160
180
200
TREND OF NANOLITHOGRAPHIES UV 193 nm
UV 157 nm
extreme UV
X-rays
Electron beam
Ion beam
print
Resolution
(nm)
YEAR
Approaching The Limit Of
Top-Down Nanotechnologies
27
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
ASML - How water can make more powerful chips
28
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
ASML - Powering the Next Phase of Semiconductor Manufacturing
29
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Mask Maker’s
Holiday:
“large” k1
Mask Maker’s
Burden: “small” k1
Why?
Minimum lithographic feature size =
k1: “Process complexity factor” – includes “tricks” like phase-shift masks
λ: Exposure wavelength
NA: Numerical aperture of the lens – maximum of 1 in air, a little higher in immersion lithography (Higher
NA means smaller depth of focus, though)
k1*λ
NA
ftp://download.intel.com/research/silicon/EUV_Press_Foils_080204.pdf
There are only so many “tricks” to increase this
gap, and they are very expensive … we MUST
go to a shorter wavelength!
Adapted from Matt Smith, Penn State University
30
Why EUV? It’s all about the money
By decreasing λ by a factor of 14, we
take pressure off k1 – this makes the
masks less complicated and
expensive because we can skip the
“tricks”
Next Generation Lithography: EUV
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Next Generation Lithography: EUV
• Pretty soon UV lithography will hit the limit in terms of
minimum feature size
• EUV is the next step
• Uses very short 13.4 nm light
• Few materials allow EUV light to pass through, so
reflective (instead of transmissive) optics must be used
• Mask pattern must be really absorbent to EUV light, so
heavy metals are used
• Uses reduction optics (4 X)
• Step and scan printing
• Optical tricks seen before all apply: off axis illumination
(OAI), phase shift masks and OPC
• Vacuum operation
• Laser plasma source
• Very expensive system
Mask fabrication is the most difficult task.
31
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
32
giuseppe.maruccio@unisalento.it
II-B. e-beam
Lithography
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Electron Beam Lithography
Iowa State Univ.
dot.che.gatech.edu
Optical Lithography E-beam Lithography
Limiti di Risoluzione
Good for making optical
lithographic masks for use
in UV, EUV, and X-ray
Advantages
• Better resolution
• Direct writing, no mask
needed
• Arbitrary size, shape, order
Disadvantages
• Serial processlow
throughput
• slow, small area
• Exposure source: electron beam
At acceleration voltage Vc=120kV, λ=0.0336Å
Diffraction is not a limitation on resolution
(l < 1 Å for 10-50 keV electrons)
Resolution depends on electron scattering
and beam optics. Beam size can reach ~ 5 nm
Operation: Direct writing with
narrow beam (turning it on and
off to write or not write a pixel)
34
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
E-Beam sources
• Thermionic emitters:
• Electrons “boiled” off the surface by giving them
thermal energy to overcome the barrier (work
function)
• Current given by Richardson-Dushman equation
• Field Emitters:
• Takes advantage of the quantum mechanical
properties of electrons. –Electrons tunnel out when
the surface barrier becomes very narrow
• Current given by Fowler-Nordheim equation
• Photo Emitters:
• Energy given to electrons by incident photons
• Only photo-electrons generated close to the surface
are able to escape
Electron Column
An electron column to generate
focused e-beam which directly write
to the substrate
35
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
36
Electron beam lithography
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
37
Immagini EBL
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Two Layer Resist Processing
• The best results of e-beam have been
achieved for making a pattern of a
single layer of evaporated or sputtered
material.
• The two-layer process produces an
undercut structure which functions as a
shadow mask for the deposition
process.
• After deposition from a collimated
source, the resist is removed with a
solvent.
ref: Handbook of Microlithography, Micromachining
and Microfabrication, SPIE (1997)
38
giuseppe.maruccio@unisalento.it
II-B2.
Ion beam
Lithography
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Focused Ion Beam (FIB)
• Liquid ion source: Ga, Au-Si-Be alloys LMI sources due to the long
lifetime and high stability.
Advantages:
• High exposure sensitivity: 2 or more orders of magnitude higher
than that of electron beam lithography
• Negligible ion scattering in the resist
• Low back scattering from the substrate
• Can be used as physical sputtering etch and chemical assisted etch.
• Can also be used as direct deposition or chemical assisted
deposition, or doping .
Disadvantages:
• Lower throughput, extensive substrate damage.
40
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Ion Lithography
 No diffraction and Ions scatter much less than
electrons so a higher resolution is feasible
 In addition, resists are more sensitive to ions than to
electrons. There is also the possibility of a resistless
wafer process.
 Problems:
• Ion Beam source (e.g. Gallium)
• Mask
• Beam forming
• Not as mature as EPL
Ion beam
Step-and-scan
wafer stage
Electrostatic
lens system
(4:1 reduction)
Vacuum chamber
Ion source
Mask
Reference
plate
An important application of ion lithography is the repair of
masks for optical or x-ray lithography, a task for which
commercial systems are available.
41
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Comparisons
• IPL Mask
42
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
43
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
44
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
FIB lamella preparation
45
giuseppe.maruccio@unisalento.it
II-C. X-Ray
Lithography
• Became very important in MEMS: LIGA
• Despite huge efforts seems abandoned for NGL for now
Advantages: High resolution, Large area
Disadvantage: Synchrotron production of x-rays is the most favorable. Synchrotron
facility necessary and synchrotrons need to be further developed as a source for x-rays.
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
X-rays
Wilhelm Conrad
Roentgen (1845-1923)
x-rays are light (l = 10 nm to 0.01 nm)
 Roentgen discovered an unknown ray in 1895 (X-ray) experimenting with
evacuated tubes that he would fill with specific gases and then pass electricity
through.
 Roentgen found that despite shrouding the tube in black paper (as it let off a
glow similar to our incandescent bulbs) it somehow caused a barium
platinocyanide-coated screen to glow.
 He won the first Nobel prize in physics in 1901
X-ray interactions
 x-rays interact with matter through the excitation or ionization of
atomic electrons
 absorption of high energy x-rays causes the creation of
photoelectrons (core shell holes) but does not lead directly to
resist modification [Cerrina, J Phys D, 2000]
 relaxation is what leads to material modifications
• energy release of a higher lying electron via x-ray
fluorescence
• Auger effect – higher lying electron transfers energy to
another atomic electron, which is then ejected[eds. Suzuki, et
al., “Sub-Half-Micron Lithography for ULSIs”, 2000 ]
 photoelectrons and auger electrons are responsible for
modification of resists
47
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
X-ray Lithography
• After EUV comes X-ray lithography, it
enables super-high resolution pattern transfer
• X-ray lithography employs a shadow printing
method similar to optical proximity printing.
The x-ray wavelength (4 to 50 Å) is much
shorter than that of UV light (2000 to 4000
Å). Hence, diffraction effects are reduced and
higher resolution can be attained. For
instance, for an x-ray wavelength of 5 Å and a
gap of 40 µ, R is equal to 0.2 µ.
• Exposure source: X-rays are produced by
synchrotron radiation from a bending magnet
in a high energy electron storage ring.
• Resist: sensitive to x-ray. Resist, usually
PMMA, is exposed through an x-ray mask in
proximity or in contact with the wafer.
– IBM used resists developed for DUV and obtained
successful results
• Mask: mask production seems to be the
limiting step in the technology (no
demagnification optics possible); expensive to
produce; Ex. SiC membrane covered by high
Z metal; fabricated by e – beam writer
Grenoble Synchrotron
48
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
X-ray sources
• Types of x-ray sources:
• Electron Impact X-ray source
• Plasma heated X-ray source
• Laser heated
• E-beam heated
• Synchrotron X-ray source
Wilson et al., IBM J Res Develop, 1993
Synchrotron
Synchrotron radiation: a magnetic field to cause an
e-beam to follow a circular orbit at velocities near the
speed of light; the orbiting electron’s emitted radiation
will become sharply peaked in the forward direction;
capable of providing continuous source of soft and hard
x-rays
Wilson et al., IBM J Res Develop, 1993
49
giuseppe.maruccio@unisalento.it
II-D. Holographic
and Interference
Lithography
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
II-D. Interference Lithography
T.A.Savas et al., J.Appl.Phys.85
(1999) 6160
Patterned Nanostructures
51
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
II-D. Interference Lithography
52
l
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Holographic Lithography
53
giuseppe.maruccio@unisalento.it
Alternative
Lithographies
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Nanofabrication. Scale bars: 2 m
[Kawata et al., Nature, 2001, 412, 697-698 ]
Two-Photon 3D Lithography
Satoshi Katawa and colleagues at Osaka University used two
laser beams to sculpt the micro-beast from resin, which
solidifies only where the lasers cross. The team refined this
'two-photon micropolymerization' to a resolution of 120
nanometres (120 billionths of a meter).
55
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
56
Two-photon polymerization (TPP) is a serial
process for the fabrication of three-
dimensional microstructures. Because of its
ability to produce geometries with no
topological constraints with a resolution
smaller than 100 nm
Two-Photon 3D Lithography
The excited state of a molecule can be
reached by the absorption of one photon of
an appropriate wavelength or by the
simultaneous absorption of two photons,
each having half the energy required for
the transition. In this case, two-photon
absorption is said to be degenerate. When
the photons promoting the transition are of
different energies (wavelengths), the
process is said to be nondegenerate.
Two-photon cross-sections of most molecules
are very small; usually on the order of 1 GM or
less, where 1 GM corresponds to 10-50 cm4 sec
photon-1 (GM stands for GöppertMayer in
honor to the scientist who theoretically
predicted multiphoton absorption). As a result,
high local photon fluxes are needed to promote
two-photon absorption. Commercially available
Ti:sapphire lasers emitting at wavelengths in
the near-infrared region of the spectrum and
producing pulses shorter than 100 fs can meet
this requirement. Each pulse has a peak power
on the order of a kilowatt, while at a typical
repetition rate of 80 MHz the average power is
on the order of milliwatts. When focused into
tight spots, these lasers allow reaching the
photon densities needed for two-photon
absorption to occur.
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Two-Photon 3D Lithography
57
Since the probability of a two-photon absorption event is proportional
to the second power of the light intensity, excitation can be spatially
localized.
- total one-photon (dashed line) and
- two-photon (continuous line) absorption per transverse section of a
focused laser beam.
While the absorption probability is the same along the optical axis for a
one-photon process, a maximum coinciding with the focal point is
present for the two-photon process.
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Two-Photon 3D Lithography
58
giuseppe.maruccio@unisalento.it
II-E.
Scanning Probe
Lithography
• Probe: STM, AFM
• Techniques: Voltage pulse,
CVD, Local
electrodeposition, Dip-pen
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Scanning Probe Lithography
60
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Manipulation of Atoms
1. Parallel process
2. Perpendicular process
61
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
STM CVD
62
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Nanolithography
• Local anodic oxidation, passivation, localized chemical vapor deposition,
electrodeposition, mechanical contact of the tip with the surface, deformation of the
surface by electrical pulses
63
Nanodeposition
Local Electrodeposition
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Dip Pen Lithography
In dip-pen lithography (DPL) a reservoir of “ink” is stored
on the cantilever holding the scanning probe tip, which is
manipulated across the surface, leaving lines and patterns
behind. Lines as thin as 15 nanometers have been drawn.
The attainable resolution depends strongly on the substrate
roughness, the writing speed and the relative humidity.
64
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Dip Pen Lithography
65
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Thermal Dip Pen Lithography
• A thermal-DPN (tDPN) method was
developed by Georgia Tech’s William
King and NRL’s Lloyd Whitman
• By using easily-melted solid inks and
special AFM probes with built-in
heaters writing can be turned on and off
at will.
A topographic image of a surface scanned with a heated AFM cantilever tip for 256 seconds i n
each of four 500 nan ometer squares. The cantileve r temperature is show n for each of the four
scans. No deposited materialis observed from the tw o low-temperature scans. The scan at 98 ¡C
resulted in light deposition. Robust depositi on occurred during the final scan when the cantileve r
temperature was 122 ¡C. Image courtesy of Naval Research Laboratory
http://gtresearchnews.gatech.edu/newsrelease/tdpn.htm
66
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Multi-Pen for Scalability
A dip-pen nanolithography
that has an array of 55,000
pens that can create 55,000
identical molecular patterns
67
giuseppe.maruccio@unisalento.it
Colloidal
Lithography
(Lecce results)
group
Giuseppe Maruccio
giuseppe.maruccio@unisalento.it
Colloidal lithography
Pick up
Metallization
Cu/Au
Tape stripping with
carbon tape
Nanoholes fabrication
Nanodisks fabrication
Metallization before
colloidal assembly
Reactive ion
etching
giuseppe.maruccio@unisalento.it
Soft-Lithography
and Nanoimprinting
 Molding of a polymer using a photoresist
master mold
 Fast, cheap prototyping method
 Down to 10 nm feature resolution.
 Require little capital investment
 Ambient laboratory conditions
 Able to generate features on curved
substrates
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
What is Soft Lithography
71
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Soft Lithography – Summary
1. Rapid prototyping: replication of master
2. Microcontact printing of molecules
3. Microfluidics
Pre-industrialization
72
Rapid Prototyping
• A system of channels is designed in a CAD
program.
• A commercial printer uses the CAD
• file to produce a high-resolution transparency
• This transparency is used as a photomask in
contact photolithography to produce a master.
• A master consists of a positive relief of
• photoresist on a silicon wafer and serves as a
mold for PDMS.
• Liquid PDMS pre-polymer is poured over the
master and cured for
• 1 h at 60 °C. (C) The PDMS replica is peeled
from the master
• (D) the replica is sealed to a flat surface to
enclose the channels.
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Soft Lithography – Summary
73
Advantages:
• Convenient and low cost
• Rapid prototyping
• Deformation of PDMS provides route
to complex patterns
• No optical diffraction limit
• Non-planar or curved surfaces
• Generation of 3D -structures
• Control over surface chemistry
• A broad range of materials
• Applicable to manufacturing
• Patterning over large areas
Disadvantages:
• Distortion of patterns
• Poor registration/alignment
• Compatibility with IC processes
• Defects and their densities
• μCP can only be applied to a number
of surfaces
• MIMIC is a relatively slow process
Soft lithography: advantages
and disadvantages
giuseppe.maruccio@unisalento.it
Replica molding
74
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
A) Replica molding (REM)  Resolution and roughness of the master is
reproduced
 Advantage: easily bonded to most of
surfaces (Si, SiO2, other plastic) facilitates
fabrication of multilayered structures
Master (Au) Replica (PU)
Resolution 30 nm
Channels of a miniaturized CE device created by
molding PDMS against a lithographic master
1. Master mold is replicated in PDMS by casting and curing PDMS
pre-polymer.
2. PDMS mold is oxidized in oxygen plasma for 1 minute and
exposed to fluorinated silane for 2 hours to make a surface with
low adhesion to PDMS.
3. PDMS is cast against this negative replica, cured and peeled off.
4. This will make the positive replica of the original master.
Replica Molding (REM)
75
Cure on hotplate for few hours
Peel off PDMS
giuseppe.maruccio@unisalento.it
Micromolding
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Mechanical compression
for feature size reduction
Creating curved surface
Molding on curved surface
Y. Xia et al., Science 1996
Replica Molding (REM)
Using mold elasticity
77
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
The patterning process has high fidelity, with little feature size loss.
Replica Molding (REM)
78
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
79
giuseppe.maruccio@unisalento.it
Micro-contact
printing
80
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Micro - contact printing (μCP)
81
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Micro - contact printing (μCP)
II) large-area
printing on a
planar surface with
a rolling stamp
I) Printing with a planar stamp. (A) Fabrication of
the stamp; (B) Transfer of the “ink” to substrate
• An “ink” is spread on a patterned PDMS stamp
• The stamp is then brought into contact with the substrate
• The “ink” is then transferred to the substrate where it
can act as a resist against etching
• “Ink” can be a SAM or a biological sample
B) Microcontact printing (µCP) Resolution 300 nm
82
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
100mm stamp
20m
 It uses a PDMS stamp to form patterns
of self-assembled monolayers (SAMs)
on the surfaces of substrates.
 PDMS stamp is coated with an ink of
the molecules and pressed onto the
solid surface
 Inking creates a Self Assembled
Monolayer on the solid surface
 the use of elastomers allows the
micropatterned surface to come into
conformal contact with the surfaces
over large areas
Micro - contact printing (μCP)
83
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Xia & Whitesides, Angew. Chem. Int. Ed. 1998, 37, 550-575.
A. Kumar & G. Whitesides, Applied Physics Lett. 1993
a. Printing on a planar surface
with a planar stamp.
b. Printing on a planar surface
with a rolling stamp
c. Printing on a non-planar
surface with a planar stamp
Micro - contact printing (μCP), with roller
84
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Applying alkanethiols on stamp to form SAM
• These monolayers allow control over wettability, adhesion, chemical reactivity, electrical
conduction, and mass transport to underlying metal
in ethanol
Xia, Y.; Whitesides, G. M. Angew.
Chem., Int. Ed. 1998, 37, 550.
Michel, B.; Bernard, A., et al. IBM
J. Res. & Dev. 2001, 45, 697.
Substrate Molecules
Popular “ink” molecules
85
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Patterning of organic single crystals
Nature 444, 913-917 (14 December 2006)
http://www.nature.com/nature/journal/v444/n7121/pdf/nature05427.pdf
a. Procedure used to grow organic
single crystals on substrates that have
been patterned by microcontact
printing. To grow the patterned single
crystals, the patterned substrate is
placed in a glass tube with the organic
source material, vacuum-sealed (0.38
mmHg), and placed in a temperature
gradient furnace tube.
b–d, Patterned single-crystal arrays of
different organic semiconductor
materials. The dotted square in each
image indicates the size and location
of one of the OTS-stamped domains,
while the molecular structure of the
organic material used is shown next to
the image of its single-crystal array.
(optical micrograph)
OTS: octadecyltrichlorosilane
The above three materials are all
hydrophobic and they
binds/sticks to OTS
86
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Conformal micro-contact printing on rough surfaces
Dependence of maximal roughness amplitude for
spontaneous formation of conformal contact on the
roughness wavelength (l) for a stamp with Young's
modulus of 2.5 MPa and work of adhesion of 0.1J/m²
(Sylgard 184, solid line) and for a stamp with modulus of 9
MPa and work of adhesion of 0.03J/m² (dotted line).
Larger l allows for higher roughness.
Conformal contact between a soft stamp and a
hard substrate.
87
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Micro-contact printing on curved substrates
Whitesides, “Fabrication of submicrometer features on curved
substrates by microcontact printing”, Science, 269, 664 (1995);
Rogers and Whitesides, “Microcontact Printing and
Electroplating on Curved Substrates: Production of Free-
Standing Three-Dimensional Metallic Microstructures”, Adv.
Mater. 9, 475 (1997).
PDMS is soft, it can
roll onto curves
88
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Pattern transfer into Si(100) by anisotropic etching
KOH anisotropic etching of Si
is the most popular pattern
transfer technique when the
etching mask is too thin for
liftoff or direct etch.
But it is limited to Si along
certain crystalline directions.
Au
Si
89
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Micro-contact printing of proteins
BSA: bovine serum albumin, bovine albumin
(anti-Goat IgG – Alexa 488 and 594)
Fluorescent image
90
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Micro-contact printing of DNA
S.A. Lange, V. Benes, D.P. Kern, J.K.H. Horber, A. Bernard, Anal. Chem. 2004, 76, 1641.
A. Scheme of DNA printing. The surface of PDMS was modified such that it exposed positive charges on its surface.
The stamp was incubated with target DNA molecules in a solution of low pH. The stamp was then rinsed, blown dry,
and printed to deliver the DNA to the target surface.
B. Fluorescence images of patterned FITC-labeled DNA on a glass surface after printing.
C. AFM images revealing the printed DNA molecules deposited as patterns on mica substrates. AFM images (tapping
mode in air) of stamped 1-μm lines of oligonucleotides (left, 20-bp oligos; right, 500-bp PCR fragments).
91
giuseppe.maruccio@unisalento.it
Micro-molding in
capillary (MIMIC)
92
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
• Continuous channels formed upon
contact of PDMS stamp with substrate
• A polymer precursor fills channels with
capillary action
• Polymer is cured and stamp is removed
Resolution 1 μm
C) Micromolding in capillaries (MIMIC)
Micro-molding in capillary (MIMIC)
Liquid
pre-
polymer
Nano-molding in
capillaries is possible
Line-width: 100nm
93
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Uses capillary forces to fill the gaps between substrate
and PDMS master
1. Push the PDMS stamp against the substrate.
2. Elastic PDMS seals off walls and creates capillary
channels.
3. prepolymer is placed at the ends of these channels
and fills them automatically due to capillary force.
4. Prepolymer fills the channels using capillary forces.
PDMS can absorb the solvent, which creates a partial
vacuum inside the PDMS cavity and helps to draw in
liquid polymer.
5. Cure the polymer
6. Peel off the PDMS mold
Micro-molding in capillary (MIMIC)
Kim & Whitesides et al, Nature, 1995, 376, 581
Xia, Y.; Whitesides, G. M. Ann. Rev. Mater. Sci 1998, 28, 153.
a: PU (polyurethane) on Si b: polyaniline
c: ZrO2 d: polystryene colloids
e+f: free standing PU
94
giuseppe.maruccio@unisalento.it
Micro - transfer
molding (μTM)
95
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
• PDMS stamp is filled with a
prepolymer and placed on substrate
• Polymer cured and stamp removed
•Able to generate multilayer structures
Resolution 250 nm
D) Microtransfer molding (µTM)
Micro - transfer molding (μTM)
TM fabrication of a). one-layer microstructures;
b). three-layer polymer microstructures.
PDMS
Pre-polymer
Substrate
96
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Zhao & Whitesides et al, Advanced Materials, 1996, 8, 837.
Microstructures fabricated using TM.
a) An SEM image of a fractured sample showing a
pattern of isolated stars of UV-cured polyurethane
(NOA 73) on Ag.
b) An array of parallel lines of spin-on glass on Si with
an aspect ratio (height/width) of 8.
c) A two-layer structure: isolated micro-cylinders (1.5m
in diameter) on 5m-wide lines, supported on a glass
cover slide.
d) A two-layer structure: a continuous web over a layer of
5m-wide lines, supported on a glass cover slide.
e) A three-layer structure on a glass cover slide. The
layers of 4 m-wide lines are oriented at 60o from
each other.
Structures in c-e were made of heat-cured epoxy
(F109CLR).
Micro - transfer molding (μTM)
97
giuseppe.maruccio@unisalento.it
Solvent assisted
microcontact
molding
98
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
replica bagnata con
solvente e posta a contatto
con substrato
Solvent-assisted micromolding (SAMIM)
D) solvent-assisted micromolding (SAMIM)
Comparison of micro-molding technologies
99
Solvent assisted microcontact molding (SAMIM)
(solvent assisted imprinting)
Kim & Whitesides et al, Advanced Materials, 1997, 9,651.
Substrates
Silicon
Glass
Flexible transparency
Polymer
SU-8 (1μm)
Shipley 1805 Photoresist (500nm)
3% PMMA (70nm)
Solvent
SU-8 (ethanol)
Shipley 1805 photoresist (ethanol)
3% PMMA (acetone)
Uses a solvent to wet the PDMS stamp and soften the structure polymer.
Dissipate and evaporate the solvent through PDMS.
(PDMS stamp can absorb the solvent because of the solvent permeability of PDMS.)
The molded polymer structure becomes solidified in a
few minutes after evaporation of solvent, while the
stamp is still in conformable contact with the substrate.
100
SAMIM of SU-8 in ethanol
SU-8
2m diameter
101
SAMIM of PMMA in acetone
Au structure fabrication
102
Hole array in PMMA Au dot array after liftoff
SAMIM of PMMA in acetone
Dot diameter 160nm.
103
giuseppe.maruccio@unisalento.it
Imprinting
104
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft
Low cost mass production technique
 Stamps can be Si or metal
 Heating of the plastic at its softening temperature at lower pressures or at room-
temperature at elevated pressures
 Materials: PS, PMMA, PVC, …
Hot embossing/imprinting
Si stamp Imprinted channel in
PMMA
Metal mechanical
micromachined tool
Microplate
with 96 CE
devices
Resolution 25 nm
105
giuseppe.maruccio@unisalento.it
NanoImprint
giuseppe.maruccio@unisalento.it
Wet and Reactive
Ion etching & ICP
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Etching – Mask for a Mask
• The mask must withstand the
chemical environment.
• A typical mask/substrate
combination is oxide on silicon.
• Resilient masks are typically
grown or deposited in whole
films, and must therefore be
patterned through a
photosensitive mask
Reusable mask
Photoresist coating
Functional mask
108
giuseppe.maruccio@unisalento.it
Dry Etching Mechanisms
109
Dry etching technology uses plasma to generate chemically reactive
species, starting from relatively inert molecular gases. Such species
reacting with solid materials form volatile compounds, which are
subsequently removed from pumping systems which are essential
components of the machines used. In a dry etching machine, essentially
two types of chemical reactions occur:
- CHEMICAL-PHYSICAL REACTIONS IN THE GASEOUS
PHASE: the collisions between the electrons and the molecules during
plasma generation (excitement, ionization, dissociation, recombination)
bring to the formation of reactive ions and molecules
- SURFACE CHEMICAL REACTIONS: reactive ions and
molecules act in the erosion of the material film on which the
geometries of electronic devices are to be created.
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Dry Etching Mechanisms
• PHYSICAL: High-speed positive ions impact on the
surface and transfer mechanical energy by removing
substrate material.
• Removal based on impact & momentum transfer
• Poor material selectivity
• Good directional control
• High excitation energy
• Lower pressure, <100 mTorr
• CHEMICAL: the highly reactive (radical) neutral
species produced in the plasma interact with the surface
of the material and combine through reactions to the
substrate forming volatile products.
• Highest removal rate
• Good material selectivity
• Generally isotropic
• Higher pressure, >100 mTorr
• PHYSICAL/CHEMICAL
• Good directional control
• Intermediate pressure, ~100 mTorr
110
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Etching – a Comparison
ISOTROPIC
• Wide variety of materials
• No crystal alignment
required
• May be very fast
• Sometimes less demand for
mask resilience
ANISOTROPIC
• Predictable profile
• Better depth control
• No mask undercutting
• Possibility of close feature
arrangement
Multiple layers are common
111
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Isotropic Wet Etching
Isotropic Wet Etching
• Etch occurs in all crystallographic directions at the same rate.
• Most common formulation is mixture of hydrofluoric, nitric and acetic acids
(“HNA”: HF + HNO3 + CH3COOH).
• Etch rate may be very fast, many microns per minute.
• Masks are undercut.
• High aspect ratio difficult because of diffusion limits.
• Stirring enhances isotropy.
• Isotropic wet etching is applicable to many materials besides silicon
112
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Anisotropic Wet Etching
• Etch occurs at different rates depending on exposed crystal
• Usually in alkaline solutions (KOH, TMAH).
• Heating typically required for rate control (e.g. > 80 oC).
• Etch rate typically ~1 µm/min, limited by reactions rather than diffusion.
• Maintains mask boundaries without undercut.
• Angles determined by crystal structure (e.g. 54.7º).
• Possible to get perfect orthogonal shapes outlines using 1-0-0 wafers.
113
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Etching – a Comparison
114
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
DRIE System
Plasmalab System 100 Modular ICP-RIE Etching System
Oxford Instruments
• Reactive ion etching (RIE) is a dry
etching method which combines plasma
etching and ion beam etching principles.
– Choice for most advanced product
line
– It’s not well suitable for deep
etching (>10μm)
• Inductively coupled plasma (ICP)
reactors have been introduced for silicon
RIE process leading to the deep reactive
ion etching (DRIE) technique.
– Higher plasma density
– Higher etching rate, either for
anisotropic and isotropic etching
– Higher aspect ratio (AR)
– Reduction of parasitic effects
Use ICP etcher to create deep etching in silicon
substrate
115
giuseppe.maruccio@unisalento.it
Deposition
techniques
• PVD
• Used to deposit metals
• High purity
• Line of sight
• CVD
• Reactive gases interact
with substrate
• Used to deposit Si and
dielectrics
• Good film quality
• Good step coverage
giuseppe.maruccio@unisalento.it
PVD
• Physical methods produce the atoms that deposit on the substrate
• Process usually done in an evacuated chamber
Advantages:
 Versatile – deposits almost any material
 Very few chemical reactions
 Little wafer damage
Limitations:
 Line-of-sight
 Shadowing
 Thickness uniformity
 Difficult to evaporate materials with low
vapor pressures
giuseppe.maruccio@unisalento.it
Evaporation
• Material to be deposited is heated until it becomes vapor phase
• The heated material flies into the substrate
• The hotter the substrate, the better the film quality
• Can deposit very fast relative to other methods, but not always good
quality film (up to 200 nm/s film growth)
• Rely on thermal energy supplied to the crucible or boat to
evaporate atoms
• Evaporated atoms travel through the evacuated space between
the source and the sample and stick to the sample
• Few, if any, chemical reactions occur due to low pressure
• Can force a reaction by flowing a gas near the crucible
• Surface reactions usually occur very rapidly and there is very
little rearrangement of the surface atoms after sticking
• Thickness uniformity and shadowing by surface topography, and
step coverage are issues
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
118
giuseppe.maruccio@unisalento.it
e-beam Evaporation
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
119
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Sputtering
 The target (material to be deposited) and substrate are placed facing each other
 A plasma is ignited between them under vacuum
 A voltage bias between them causes ions from the plasma to ram into the target
 The ions eject pieces of the target that “sputter” onto the substrate
Magnetron Sputtering
 A big magnet is used to force the electrons into spiral paths so that they spend more time ionizing
neutral gas particles
 This increases the number of ions
 More ions increases the chances of knocking out some of the material to be sputtered
 Increases efficiency
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
120
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Sputtering
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
121
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Pulsed Laser Deposition
• Similar to Evaporation method, except uses a laser to heat the material to be deposited
• Different because the intense energy creates a plasma
• Plasmas not only contain inert material, but also ions and radicals which could chemically
react with the surface
• Depends on chemistry of reactants
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
122
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Pulsed Laser Deposition
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
123
giuseppe.maruccio@unisalento.it
CVD
Gases react with substrate
Various types of CVD:
 Atmospheric pressure – APCVD
 Low pressure – LPCVD
 Plasma enhanced – PECVD
 High density plasma - HDPCVD
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Chemical Vapor Deposition
• In chemical deposition, the material being deposited on the
substrate reacts / form bonds with the surface
• The substrate as well as reactant temperature play a role in
the rate of reaction
• Precursor gas is pumped into the reaction chamber
• It’s heated until reactive species form
• Ex) SiH4  SiH2 + H2
• The reactive species chemically interact with the surface to
stick to (or react with) it
• Surface properties and temperature can determine how well
something sticks
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
125
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Steps in CVD
1. Transport reactants via forced convection to reaction region
2. Transport reactants via diffusion to wafer surface
3. Adsorb reactants on surface
4. Surface processes: chemical decomposition, surface migration, site
incorporation, etc.
5. Desorption from surface
6. Transport byproducts through boundary layer
7. Transport byproducts away from deposition region
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Plasma Enhanced CVD
• Uses an RF or microwave E-field to strip electrons off the precursor gasses
• Since e- are so much lighter than the rest of the molecule (ion), they accelerate in the E-field
faster than the molecules
• By the time the E-field changes direction (at
RF or microwave frequencies) the electron
has gained a lot of momentum and the
remaining molecule (ion) has barely started
to move
• Thus, the e- have a high temperature and the
molecules (ions) have a low temperature
• This means that the substrate can have a
lower temperature, too
• Enables new substrates like glass and
plastic
• This is how TFT-LCD displays can be made
Electrode
Substrate
RF
Source
Plasma
Gas
Optical CVD
• Not always applicable
• Uses different wavelengths of light to break
precursor gas bond to form reactive species
• Ex) Cl2 + h (photon)  2Cl (radicals)
• Also enables low temperature deposition
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
127
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Atomic Layer Deposition (ALD)
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
128
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Atomic Layer Deposition (ALD)
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
129
giuseppe.maruccio@unisalento.it
Self-Assembly
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Alternative strategies
Top down
• Optical lithography  Semiconductors
• Electron beam lithography  Semiconductors
• X-ray lithography  Semiconductors
• Soft Lithographies  Organics, semiconductors
Bottom-up
• Self organized epitaxy  Semiconductor nanostructures
• Self-assembling  Supramolecular structures (organics)
• Biomolecular self organization  Biophysics, physiology
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
131
giuseppe.maruccio@unisalento.it
Self-Assembly
8 Ǻ
Courtesy of Prof. A.Rowan, IMM, Nijmegen (The Netherland)
18 Ǻ
32 Ǻ
Inner Diameter 8 Ǻ
45
Ǻ
85 Ǻ
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
• Definition: spontaneous organization of molecules (objects) into stable, well-
defined structures by non-covalent forces.
• Driving force: thermodynamic equilibrium.
• Final structure: determined by the subunits.
• Biological 3D self assembly: folding of proteins, formation of DNA helix…
Self assembled monolayer (SAM)
Chemi-sorption and self-
organization of long-chain organic
molecules on flat substrates.
Alkanethiolates CH3(CH2)nS-
Au(111)
-SH also binds to Ag, but Ag surface
not as stable as Au.
Laibinis,Whitesides, et al. JACS 1991, 113, 152
Self - assembling, classical –SH and Au bonding
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
133
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
DNA origami
giuseppe.maruccio@unisalento.it
Chemical Solution
Deposition
• Material is deposited on the substrate in the liquid state
• Spin Coating: Some liquid is placed on the substrate and it’s spun really fast until only
a thin coating is left
• Dip Coating: Dunk the substrate in solution
• Spray Coating: Like spray painting the substrate
• Screen Printing: Put a stencil on the substrate and use a squeegee to pull solution across
• Ink-jet Printing: Same as in an ink-jet printer for a PC
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Langmuir-Blodgett (LB) Films
• A form of dip coating
• You have a solution with a layer of special molecules on the surface
• One side of the molecule is water-soluble, and the other is not (like soap)
• Thus all the molecules are aligned on top of the solution
• When you dunk the substrate in, you
get a monolayer (one layer) of aligned
molecules on the substrate
• If you keep dunking it you’ll get a new
layer each time
• The water soluble side of one layer
aligns with the water soluble side of
the next (alternating alignment)
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
136
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Langmuir-Blodgett (LB) Films
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
137
giuseppe.maruccio@unisalento.it
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
Langmuir-Blodgett (LB) Films
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition
138
giuseppe.maruccio@unisalento.it
Bonding &
Packaging
giuseppe.maruccio@unisalento.it
Bonding and Packaging
• Wires (=25 m) are bonded to package leads: The bond wires are attached using
thermocompression, ultrasonic, or thermosonic techniques
• Packaging is done by
surface mount technology
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging
140
giuseppe.maruccio@unisalento.it
Copper wire Ball bonding
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging
141
giuseppe.maruccio@unisalento.it
Gold Ball Bonding
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging
142
giuseppe.maruccio@unisalento.it
Wire Bonding
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging
143
giuseppe.maruccio@unisalento.it
Pick and Place
VIII. Micro- and Nano-fabrication techniques
└ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging
144

More Related Content

What's hot

Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptsumang89
 
Ion implantation VLSI
Ion implantation VLSIIon implantation VLSI
Ion implantation VLSIAnil Kumar
 
nano science and nano technology
nano science and nano technologynano science and nano technology
nano science and nano technologyAnmol Bagga
 
Nanoelectronics
NanoelectronicsNanoelectronics
NanoelectronicsAakankshaR
 
Lithography and Nanolithography
Lithography and NanolithographyLithography and Nanolithography
Lithography and NanolithographySaheem Anwar
 
Etching, Diffusion, Ion Implantation--ABU SYED KUET
Etching, Diffusion, Ion Implantation--ABU SYED KUETEtching, Diffusion, Ion Implantation--ABU SYED KUET
Etching, Diffusion, Ion Implantation--ABU SYED KUETA. S. M. Jannatul Islam
 
Photolithography
PhotolithographyPhotolithography
Photolithographytabirsir
 
Etching processes for microsystems fabrication
Etching processes for microsystems fabricationEtching processes for microsystems fabrication
Etching processes for microsystems fabricationArman Rashid
 
Nanosensors for medicines
Nanosensors for medicinesNanosensors for medicines
Nanosensors for medicinestabirsir
 
Ferroelectric and piezoelectric materials
Ferroelectric and piezoelectric materialsFerroelectric and piezoelectric materials
Ferroelectric and piezoelectric materialsZaahir Salam
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...iosrjce
 
Piezoelectric Materials
Piezoelectric MaterialsPiezoelectric Materials
Piezoelectric Materialsfoysalmd
 
Application of Nanotechnologies in the Energy Sector
Application of Nanotechnologies in the Energy SectorApplication of Nanotechnologies in the Energy Sector
Application of Nanotechnologies in the Energy SectorBasiony Shehata
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographypaneliya sagar
 

What's hot (20)

Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
 
Ion implantation VLSI
Ion implantation VLSIIon implantation VLSI
Ion implantation VLSI
 
Nano-lithography
Nano-lithographyNano-lithography
Nano-lithography
 
nano science and nano technology
nano science and nano technologynano science and nano technology
nano science and nano technology
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
Wet and Dry Etching
Wet and Dry EtchingWet and Dry Etching
Wet and Dry Etching
 
Lithography and Nanolithography
Lithography and NanolithographyLithography and Nanolithography
Lithography and Nanolithography
 
Applications of piezoelectricity
Applications of piezoelectricityApplications of piezoelectricity
Applications of piezoelectricity
 
Etching, Diffusion, Ion Implantation--ABU SYED KUET
Etching, Diffusion, Ion Implantation--ABU SYED KUETEtching, Diffusion, Ion Implantation--ABU SYED KUET
Etching, Diffusion, Ion Implantation--ABU SYED KUET
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
Etching processes for microsystems fabrication
Etching processes for microsystems fabricationEtching processes for microsystems fabrication
Etching processes for microsystems fabrication
 
Nanosensors for medicines
Nanosensors for medicinesNanosensors for medicines
Nanosensors for medicines
 
Ferroelectric and piezoelectric materials
Ferroelectric and piezoelectric materialsFerroelectric and piezoelectric materials
Ferroelectric and piezoelectric materials
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
 
Piezoelectric Materials
Piezoelectric MaterialsPiezoelectric Materials
Piezoelectric Materials
 
Nanoelectronics
NanoelectronicsNanoelectronics
Nanoelectronics
 
CdS Nanoparticles
CdS Nanoparticles CdS Nanoparticles
CdS Nanoparticles
 
Application of Nanotechnologies in the Energy Sector
Application of Nanotechnologies in the Energy SectorApplication of Nanotechnologies in the Energy Sector
Application of Nanotechnologies in the Energy Sector
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 

Similar to 08. Micro- & Nano-Fabrication

Nanotechnology - An overview
Nanotechnology - An overviewNanotechnology - An overview
Nanotechnology - An overviewChetan Jaganure
 
07b Microfluidics & Lab on Chip
07b Microfluidics & Lab on Chip07b Microfluidics & Lab on Chip
07b Microfluidics & Lab on ChipGiuseppe Maruccio
 
Nanotechnology.pdf
Nanotechnology.pdfNanotechnology.pdf
Nanotechnology.pdfMudasirMir24
 
Intel proccessor manufacturing
Intel proccessor manufacturingIntel proccessor manufacturing
Intel proccessor manufacturingTirtha Mal
 
Analysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsAnalysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsijcsit
 
ETE444-lec1-nano-introduction.pdf
ETE444-lec1-nano-introduction.pdfETE444-lec1-nano-introduction.pdf
ETE444-lec1-nano-introduction.pdfmashiur
 
Jibai_Nassim_2012_archivage
Jibai_Nassim_2012_archivageJibai_Nassim_2012_archivage
Jibai_Nassim_2012_archivageNassim Jibai
 
Nano Technology
Nano TechnologyNano Technology
Nano Technologydpchn
 
Kapil's Nanotechnologys
Kapil's NanotechnologysKapil's Nanotechnologys
Kapil's Nanotechnologyskapil200
 
Silent sound technology NEW
Silent sound technology NEW Silent sound technology NEW
Silent sound technology NEW Neha Tyagi
 
silent sound new by RAJ NIRANJAN
silent sound new by RAJ NIRANJANsilent sound new by RAJ NIRANJAN
silent sound new by RAJ NIRANJANRaj Niranjan
 

Similar to 08. Micro- & Nano-Fabrication (20)

Nanotechnology - An overview
Nanotechnology - An overviewNanotechnology - An overview
Nanotechnology - An overview
 
07b Microfluidics & Lab on Chip
07b Microfluidics & Lab on Chip07b Microfluidics & Lab on Chip
07b Microfluidics & Lab on Chip
 
On cmos fabrication
On cmos fabricationOn cmos fabrication
On cmos fabrication
 
OTA
OTAOTA
OTA
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Nanotechnology.pdf
Nanotechnology.pdfNanotechnology.pdf
Nanotechnology.pdf
 
Intel proccessor manufacturing
Intel proccessor manufacturingIntel proccessor manufacturing
Intel proccessor manufacturing
 
Analysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductorsAnalysis of lithography based approaches in development of semiconductors
Analysis of lithography based approaches in development of semiconductors
 
ETE444-lec1-nano-introduction.pdf
ETE444-lec1-nano-introduction.pdfETE444-lec1-nano-introduction.pdf
ETE444-lec1-nano-introduction.pdf
 
Jibai_Nassim_2012_archivage
Jibai_Nassim_2012_archivageJibai_Nassim_2012_archivage
Jibai_Nassim_2012_archivage
 
Nano Technology
Nano TechnologyNano Technology
Nano Technology
 
From APECE to ASML A Semiconductor Journey
From APECE to ASML A Semiconductor JourneyFrom APECE to ASML A Semiconductor Journey
From APECE to ASML A Semiconductor Journey
 
Nano Technology
Nano TechnologyNano Technology
Nano Technology
 
Writing about Nano
Writing about NanoWriting about Nano
Writing about Nano
 
nanotechnology...
nanotechnology...nanotechnology...
nanotechnology...
 
Nano technology
Nano technologyNano technology
Nano technology
 
Lithography In Society
Lithography In SocietyLithography In Society
Lithography In Society
 
Kapil's Nanotechnologys
Kapil's NanotechnologysKapil's Nanotechnologys
Kapil's Nanotechnologys
 
Silent sound technology NEW
Silent sound technology NEW Silent sound technology NEW
Silent sound technology NEW
 
silent sound new by RAJ NIRANJAN
silent sound new by RAJ NIRANJANsilent sound new by RAJ NIRANJAN
silent sound new by RAJ NIRANJAN
 

More from Giuseppe Maruccio

00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons
00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons
00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photonsGiuseppe Maruccio
 
10 Scanning probe microscopy and nanomechanics
10 Scanning probe microscopy and nanomechanics10 Scanning probe microscopy and nanomechanics
10 Scanning probe microscopy and nanomechanicsGiuseppe Maruccio
 
I. Electronic properties of nanomaterials
I. Electronic properties of nanomaterialsI. Electronic properties of nanomaterials
I. Electronic properties of nanomaterialsGiuseppe Maruccio
 
II_Quantum Hall Effects&Quantum Transport
II_Quantum Hall Effects&Quantum TransportII_Quantum Hall Effects&Quantum Transport
II_Quantum Hall Effects&Quantum TransportGiuseppe Maruccio
 

More from Giuseppe Maruccio (7)

00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons
00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons
00a_Modern Physics - Structure of Matter - Crisis, energy quantization & photons
 
10 Scanning probe microscopy and nanomechanics
10 Scanning probe microscopy and nanomechanics10 Scanning probe microscopy and nanomechanics
10 Scanning probe microscopy and nanomechanics
 
07a Biosensors
07a Biosensors07a Biosensors
07a Biosensors
 
Fluidodynamics
FluidodynamicsFluidodynamics
Fluidodynamics
 
Geometrical optics
Geometrical opticsGeometrical optics
Geometrical optics
 
I. Electronic properties of nanomaterials
I. Electronic properties of nanomaterialsI. Electronic properties of nanomaterials
I. Electronic properties of nanomaterials
 
II_Quantum Hall Effects&Quantum Transport
II_Quantum Hall Effects&Quantum TransportII_Quantum Hall Effects&Quantum Transport
II_Quantum Hall Effects&Quantum Transport
 

Recently uploaded

Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docxPoojaSen20
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesFatimaKhan178732
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfSumit Tiwari
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxRoyAbrique
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 

Recently uploaded (20)

Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docx
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
9953330565 Low Rate Call Girls In Rohini Delhi NCR
9953330565 Low Rate Call Girls In Rohini  Delhi NCR9953330565 Low Rate Call Girls In Rohini  Delhi NCR
9953330565 Low Rate Call Girls In Rohini Delhi NCR
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and Actinides
 
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdfEnzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
Enzyme, Pharmaceutical Aids, Miscellaneous Last Part of Chapter no 5th.pdf
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptxContemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
Contemporary philippine arts from the regions_PPT_Module_12 [Autosaved] (1).pptx
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 

08. Micro- & Nano-Fabrication

  • 1. group Giuseppe Maruccio giuseppe.maruccio@unisalento.it Giuseppe Maruccio Omnics Research Group Dip. di Matematica e Fisica, Università del Salento, CNR NANOTEC - Institute of Nanotechnology Via per Arnesano, 73100, Lecce (Italy) Micro- and Nano-fabrication
  • 2. giuseppe.maruccio@unisalento.it Notes for the readers My slides are not original at all! I prepared these presentations employing material/images/slides/videos from books, presentations found on the web and youtube too. I tried to merge the most relevant information and the images/schemes/tables that I found more interesting and useful to explain the discussed concepts in order to provide my students a unique file on each topics (and my research group a useful reference tool). For this reason, however, in some slides the notation can change and I still have to correct this issue in a consistent manner. In other cases, (especially at the beginning of my work), I unfortunately lost the reference about the original presentation which could be not acknowledged correctly. Thus, first of all my apologizes to the authors and I invite all the readers to write me about missing references and corrections in order to modify the slides soon. You can also write me to suggest changes, updates and topics to be added. I will be pleased to consider them. In the uploaded version I removed the videos to reduce the file size. You can write to ask me a link for the full version. Sorry if some slides are still in Italian. If you are interested in collaborations, joint activities and exchange visits, please write me.
  • 4. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 4 1643 Pascalina: precorritrice della moderna calcolatrice, inventata dal filosofo e matematico francese Blaise Pascal, permette di addizionare e sottrarre tenendo conto del riporto 1853 Calcolatrice Meccanica Scheutz: calcolatore automatico meccanico 1943. I think there is no a world market for may-be more than five computers 1949. Computers in the future may weigh no more than 1.5 tons 1977. There is no reason for any individual to have a computer (this company does not exist anymore!) Calculator evolution
  • 5. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Kryder law RAM Miniaturization & Moore law • Nel 1965 predisse la famosa legge omonima: numero di transistor per chip raddoppiato ogni 2 anni. • Questa legge è stata rispettata negli ultimi 40 anni e si pensa possa valere sino al 2010 1950 2000 5 J.Mat.Chem. 14, 542 (2004) Moore law 1970 1980 1990 2000 2010 2020 2030 1 10 100 1000 H i g h m o b i l i t y O r g a n i c F E T S i n g l e e l e c t r o n m o l e c u l a r n e t w o r k s 40M transistors/cm 2 4M transistors/cm 2 250 K transistors/cm 2 2000 transistors/cm 2 H u m a n o i d T e c h n o l o g i e s H y b r i d N a n o e l e c t r o n i c D e v i c e s D N A c o n d u c t i v i t y ( c o n t r o v e r s y ) S i n g l e M o l e c u l e C o n d u c t a n c e P o l y m e r a s e C h a i n R e a c t i o n S T M i n v e n t i o n A v i r a m R a t n e r M o l e c u l a r R e c t i f i c a t i o n I N T E L 8 0 0 8 FEATURE SIZE (nm) YEAR THE ROAD MAP
  • 6. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 6 Evolution of Computer Power/Cost
  • 7. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 7
  • 8. giuseppe.maruccio@unisalento.it Process of Microfabrication / Lecture Content Single crystal growing Wafer slicing Film deposition Oxidation Diffusion Ion implantation Etching Lithography Metallization Bonding Packaging Testing 8
  • 10. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Silicon Electronics & Growth  Silicon crystal structure is regular, well-understood, and to a large extent controllable.  In a perfect crystal, each of silicon’s four outer electrons form covalent bonds, resulting in poor electron mobility (i.e. insulating)  Doping silicon with impurities alters electron mobility (i.e. semiconducting) • Extra electron (“N-type”, with phosphorous, for example) • Missing electron (“P-type”, with boron, for example) VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth 10 • Slicing step includes  Slice the ingot into slices using a diamond saw  Polish the surface, and  Sort  Silicon occurs naturally in the forms of silicon dioxide and various silicates and hence, must be purified by: • Heating to produce 95% ~ 98% pure polycrystalline silicon • Using Czochralski (CZ) process to grow single crystal silicon 1 rev/s 10 m/s
  • 14. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Clean room environment 14 A clean environment designed to reduce the contamination of processes and materials. This is accomplished by removing or reducing contamination sources.  Air is highly (HEPA) filtered (99.99% @ 0.3)  Layout should minimize particle sources in filtered air stream  Air flow should remove most particles generated by process CONTAMINANTS  Particulate: Dust, skin, hair, makeup…  Chemical: Oil, grease, metal ions, perfume…  Biological: Bacteria, fungi,…  Radiation: Ultraviolet light… • Personnel Control • Dress code • Personal Hygiene • Gowning • Environmental Control • Entrance and exit • Materials and supplies • Cleaning and maintenance • Atmospheric
  • 15. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Particles control 15 • 50 micron particles are visible • Average human hair is about 100 microns • Time to fall 1 meter in still air • 33 seconds for 10 micron particle • 48 minutes for 1 micron particle • Humans generate >1x105 particles per minute when motionless (fully gowned) • Humans can generate >1x106 particles when walking in the cleanroom
  • 16. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Lithography Process Prepare Substrate Apply Photoresist Softbake Expose Develop Post Exposure Bake lithography is generally followed by a process which transfers the pattern from the resist to a substrate via etching, growth of a material in the interstices of the resist , or doping Photo Changing light source = type of lithography UV Light X-ray 16
  • 17. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Types of Lithography A. Photolithography (optical, UV, EUV) B. E-beam/ion-beam/Neutral atomic beam lithography C. X-ray lithography D. Interference lithography E. Scanning Probe F. Shadow mask, Nanotemplates & Colloidal Lithography G. Soft Lithography & Nanoimprint H. Self Assembly 17
  • 18. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Miniaturization & Technology Nodes 18 Research Required Development Underway Qualification/Pre-Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. 2007 2010 2001 2013 2016 First Year of IC Production 2004 Technology Options at Technology Nodes (DRAM Half Pitch, nm 248 nm + PSM 193 nm 193nm + PSM PEL 157 nm EUV, EPL ML2 IPL, PEL, PXL Narrow Options EUV EPL ML2 IPL, PEL, PXL EPL, EPL ML2 Innovative technology IPL, PEL, XPL Narrow Options Narrow Options 130 90 65 45 32 22 DRAM Half Pitch (Dense Lines) Narrow Options EUV EPL ML2 IPL, PEL, PXL EUV = extreme ultraviolet EPL = electron projection lithography ML2 = maskless lithography IPL = ion projection lithography PXL = proximity x-ray lithography PEL = proximity electron lithography Lithography Costs
  • 20. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Photolithography • KrF λ=248nm • ArF λ=193nm • F2 λ=157nm • Smaller features need smaller wavelengths of light – UV: 365nm - 436nm – Deep UV (DUV): 157nm - 250nm – Extreme UV (EUV): 11nm - 14nm – X-ray: < 10nm • Photolithography is a process by which an image is optically transferred from one surface to another, most commonly by the projection of light through a mask onto a photosensitive material. • Photoresist is a material that changes molecular structure when exposed to radiation (e.g. ultraviolet light). It typically consists of a polymer resin, a radiation sensitizer, and a carrier solvent. • A photomask is typically manifested as a glass plate with a thin metal layer, that is selectively patterned to define opaque and transparent regions. 20
  • 21. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Prepare Substrate Apply Photoresist Softbake Expose Develop Post Exposure Bake 2. Apply Positive Photoresist 3. Softbake 1. Prepare Substrate 4. Expose 5. Develop 21
  • 22. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Three types: – Contact: The mask is directly against the substrate – good minimum feature size, bad for the mask and substrate to touch – Proximity: The mask is a few m away from the substrate – degrades minimum feature size but good for reliability because mask doesn’t touch substrate – Projection: Lenses are used to focus the mask’s image onto the substrate – good minimum feature size, good for reliability A positive photoresist is weakened by radiation exposure, so the remaining pattern after being subject to a developer solution looks just like the opaque regions of the mask A negative photoresist is strengthened by radiation exposure, so the remaining pattern after being subject to a developer solution appears as the inverse of the opaque regions of the mask. 22 Photolithography
  • 23. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Photolithography – Step by Step 23
  • 24. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Intel –The Making of a Microchip 24
  • 25. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 25 Circuit fabrication (PCB)
  • 27. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Lithography Techniques dot.che.gatech.edu Light sources: Hg arc lamp (l0=436, 365, 248 nm) KrF laser (l0=248 nm), ArF laser (l0=193 nm), F2 laser (l0=157 nm) 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 60 80 100 120 140 160 180 200 TREND OF NANOLITHOGRAPHIES UV 193 nm UV 157 nm extreme UV X-rays Electron beam Ion beam print Resolution (nm) YEAR Approaching The Limit Of Top-Down Nanotechnologies 27
  • 28. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft ASML - How water can make more powerful chips 28
  • 29. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft ASML - Powering the Next Phase of Semiconductor Manufacturing 29
  • 30. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Mask Maker’s Holiday: “large” k1 Mask Maker’s Burden: “small” k1 Why? Minimum lithographic feature size = k1: “Process complexity factor” – includes “tricks” like phase-shift masks λ: Exposure wavelength NA: Numerical aperture of the lens – maximum of 1 in air, a little higher in immersion lithography (Higher NA means smaller depth of focus, though) k1*λ NA ftp://download.intel.com/research/silicon/EUV_Press_Foils_080204.pdf There are only so many “tricks” to increase this gap, and they are very expensive … we MUST go to a shorter wavelength! Adapted from Matt Smith, Penn State University 30 Why EUV? It’s all about the money By decreasing λ by a factor of 14, we take pressure off k1 – this makes the masks less complicated and expensive because we can skip the “tricks” Next Generation Lithography: EUV
  • 31. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Next Generation Lithography: EUV • Pretty soon UV lithography will hit the limit in terms of minimum feature size • EUV is the next step • Uses very short 13.4 nm light • Few materials allow EUV light to pass through, so reflective (instead of transmissive) optics must be used • Mask pattern must be really absorbent to EUV light, so heavy metals are used • Uses reduction optics (4 X) • Step and scan printing • Optical tricks seen before all apply: off axis illumination (OAI), phase shift masks and OPC • Vacuum operation • Laser plasma source • Very expensive system Mask fabrication is the most difficult task. 31
  • 32. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 32
  • 34. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Electron Beam Lithography Iowa State Univ. dot.che.gatech.edu Optical Lithography E-beam Lithography Limiti di Risoluzione Good for making optical lithographic masks for use in UV, EUV, and X-ray Advantages • Better resolution • Direct writing, no mask needed • Arbitrary size, shape, order Disadvantages • Serial processlow throughput • slow, small area • Exposure source: electron beam At acceleration voltage Vc=120kV, λ=0.0336Å Diffraction is not a limitation on resolution (l < 1 Å for 10-50 keV electrons) Resolution depends on electron scattering and beam optics. Beam size can reach ~ 5 nm Operation: Direct writing with narrow beam (turning it on and off to write or not write a pixel) 34
  • 35. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft E-Beam sources • Thermionic emitters: • Electrons “boiled” off the surface by giving them thermal energy to overcome the barrier (work function) • Current given by Richardson-Dushman equation • Field Emitters: • Takes advantage of the quantum mechanical properties of electrons. –Electrons tunnel out when the surface barrier becomes very narrow • Current given by Fowler-Nordheim equation • Photo Emitters: • Energy given to electrons by incident photons • Only photo-electrons generated close to the surface are able to escape Electron Column An electron column to generate focused e-beam which directly write to the substrate 35
  • 36. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 36 Electron beam lithography
  • 37. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 37 Immagini EBL
  • 38. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Two Layer Resist Processing • The best results of e-beam have been achieved for making a pattern of a single layer of evaporated or sputtered material. • The two-layer process produces an undercut structure which functions as a shadow mask for the deposition process. • After deposition from a collimated source, the resist is removed with a solvent. ref: Handbook of Microlithography, Micromachining and Microfabrication, SPIE (1997) 38
  • 40. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Focused Ion Beam (FIB) • Liquid ion source: Ga, Au-Si-Be alloys LMI sources due to the long lifetime and high stability. Advantages: • High exposure sensitivity: 2 or more orders of magnitude higher than that of electron beam lithography • Negligible ion scattering in the resist • Low back scattering from the substrate • Can be used as physical sputtering etch and chemical assisted etch. • Can also be used as direct deposition or chemical assisted deposition, or doping . Disadvantages: • Lower throughput, extensive substrate damage. 40
  • 41. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Ion Lithography  No diffraction and Ions scatter much less than electrons so a higher resolution is feasible  In addition, resists are more sensitive to ions than to electrons. There is also the possibility of a resistless wafer process.  Problems: • Ion Beam source (e.g. Gallium) • Mask • Beam forming • Not as mature as EPL Ion beam Step-and-scan wafer stage Electrostatic lens system (4:1 reduction) Vacuum chamber Ion source Mask Reference plate An important application of ion lithography is the repair of masks for optical or x-ray lithography, a task for which commercial systems are available. 41
  • 42. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Comparisons • IPL Mask 42
  • 43. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 43
  • 44. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 44
  • 45. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft FIB lamella preparation 45
  • 46. giuseppe.maruccio@unisalento.it II-C. X-Ray Lithography • Became very important in MEMS: LIGA • Despite huge efforts seems abandoned for NGL for now Advantages: High resolution, Large area Disadvantage: Synchrotron production of x-rays is the most favorable. Synchrotron facility necessary and synchrotrons need to be further developed as a source for x-rays.
  • 47. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft X-rays Wilhelm Conrad Roentgen (1845-1923) x-rays are light (l = 10 nm to 0.01 nm)  Roentgen discovered an unknown ray in 1895 (X-ray) experimenting with evacuated tubes that he would fill with specific gases and then pass electricity through.  Roentgen found that despite shrouding the tube in black paper (as it let off a glow similar to our incandescent bulbs) it somehow caused a barium platinocyanide-coated screen to glow.  He won the first Nobel prize in physics in 1901 X-ray interactions  x-rays interact with matter through the excitation or ionization of atomic electrons  absorption of high energy x-rays causes the creation of photoelectrons (core shell holes) but does not lead directly to resist modification [Cerrina, J Phys D, 2000]  relaxation is what leads to material modifications • energy release of a higher lying electron via x-ray fluorescence • Auger effect – higher lying electron transfers energy to another atomic electron, which is then ejected[eds. Suzuki, et al., “Sub-Half-Micron Lithography for ULSIs”, 2000 ]  photoelectrons and auger electrons are responsible for modification of resists 47
  • 48. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft X-ray Lithography • After EUV comes X-ray lithography, it enables super-high resolution pattern transfer • X-ray lithography employs a shadow printing method similar to optical proximity printing. The x-ray wavelength (4 to 50 Å) is much shorter than that of UV light (2000 to 4000 Å). Hence, diffraction effects are reduced and higher resolution can be attained. For instance, for an x-ray wavelength of 5 Å and a gap of 40 µ, R is equal to 0.2 µ. • Exposure source: X-rays are produced by synchrotron radiation from a bending magnet in a high energy electron storage ring. • Resist: sensitive to x-ray. Resist, usually PMMA, is exposed through an x-ray mask in proximity or in contact with the wafer. – IBM used resists developed for DUV and obtained successful results • Mask: mask production seems to be the limiting step in the technology (no demagnification optics possible); expensive to produce; Ex. SiC membrane covered by high Z metal; fabricated by e – beam writer Grenoble Synchrotron 48
  • 49. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft X-ray sources • Types of x-ray sources: • Electron Impact X-ray source • Plasma heated X-ray source • Laser heated • E-beam heated • Synchrotron X-ray source Wilson et al., IBM J Res Develop, 1993 Synchrotron Synchrotron radiation: a magnetic field to cause an e-beam to follow a circular orbit at velocities near the speed of light; the orbiting electron’s emitted radiation will become sharply peaked in the forward direction; capable of providing continuous source of soft and hard x-rays Wilson et al., IBM J Res Develop, 1993 49
  • 51. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft II-D. Interference Lithography T.A.Savas et al., J.Appl.Phys.85 (1999) 6160 Patterned Nanostructures 51
  • 52. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft II-D. Interference Lithography 52 l
  • 53. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Holographic Lithography 53
  • 55. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Nanofabrication. Scale bars: 2 m [Kawata et al., Nature, 2001, 412, 697-698 ] Two-Photon 3D Lithography Satoshi Katawa and colleagues at Osaka University used two laser beams to sculpt the micro-beast from resin, which solidifies only where the lasers cross. The team refined this 'two-photon micropolymerization' to a resolution of 120 nanometres (120 billionths of a meter). 55
  • 56. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 56 Two-photon polymerization (TPP) is a serial process for the fabrication of three- dimensional microstructures. Because of its ability to produce geometries with no topological constraints with a resolution smaller than 100 nm Two-Photon 3D Lithography The excited state of a molecule can be reached by the absorption of one photon of an appropriate wavelength or by the simultaneous absorption of two photons, each having half the energy required for the transition. In this case, two-photon absorption is said to be degenerate. When the photons promoting the transition are of different energies (wavelengths), the process is said to be nondegenerate. Two-photon cross-sections of most molecules are very small; usually on the order of 1 GM or less, where 1 GM corresponds to 10-50 cm4 sec photon-1 (GM stands for GöppertMayer in honor to the scientist who theoretically predicted multiphoton absorption). As a result, high local photon fluxes are needed to promote two-photon absorption. Commercially available Ti:sapphire lasers emitting at wavelengths in the near-infrared region of the spectrum and producing pulses shorter than 100 fs can meet this requirement. Each pulse has a peak power on the order of a kilowatt, while at a typical repetition rate of 80 MHz the average power is on the order of milliwatts. When focused into tight spots, these lasers allow reaching the photon densities needed for two-photon absorption to occur.
  • 57. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Two-Photon 3D Lithography 57 Since the probability of a two-photon absorption event is proportional to the second power of the light intensity, excitation can be spatially localized. - total one-photon (dashed line) and - two-photon (continuous line) absorption per transverse section of a focused laser beam. While the absorption probability is the same along the optical axis for a one-photon process, a maximum coinciding with the focal point is present for the two-photon process.
  • 58. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Two-Photon 3D Lithography 58
  • 59. giuseppe.maruccio@unisalento.it II-E. Scanning Probe Lithography • Probe: STM, AFM • Techniques: Voltage pulse, CVD, Local electrodeposition, Dip-pen
  • 60. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Scanning Probe Lithography 60
  • 61. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Manipulation of Atoms 1. Parallel process 2. Perpendicular process 61
  • 62. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft STM CVD 62
  • 63. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Nanolithography • Local anodic oxidation, passivation, localized chemical vapor deposition, electrodeposition, mechanical contact of the tip with the surface, deformation of the surface by electrical pulses 63 Nanodeposition Local Electrodeposition
  • 64. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Dip Pen Lithography In dip-pen lithography (DPL) a reservoir of “ink” is stored on the cantilever holding the scanning probe tip, which is manipulated across the surface, leaving lines and patterns behind. Lines as thin as 15 nanometers have been drawn. The attainable resolution depends strongly on the substrate roughness, the writing speed and the relative humidity. 64
  • 65. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Dip Pen Lithography 65
  • 66. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Thermal Dip Pen Lithography • A thermal-DPN (tDPN) method was developed by Georgia Tech’s William King and NRL’s Lloyd Whitman • By using easily-melted solid inks and special AFM probes with built-in heaters writing can be turned on and off at will. A topographic image of a surface scanned with a heated AFM cantilever tip for 256 seconds i n each of four 500 nan ometer squares. The cantileve r temperature is show n for each of the four scans. No deposited materialis observed from the tw o low-temperature scans. The scan at 98 ¡C resulted in light deposition. Robust depositi on occurred during the final scan when the cantileve r temperature was 122 ¡C. Image courtesy of Naval Research Laboratory http://gtresearchnews.gatech.edu/newsrelease/tdpn.htm 66
  • 67. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Multi-Pen for Scalability A dip-pen nanolithography that has an array of 55,000 pens that can create 55,000 identical molecular patterns 67
  • 69. group Giuseppe Maruccio giuseppe.maruccio@unisalento.it Colloidal lithography Pick up Metallization Cu/Au Tape stripping with carbon tape Nanoholes fabrication Nanodisks fabrication Metallization before colloidal assembly Reactive ion etching
  • 70. giuseppe.maruccio@unisalento.it Soft-Lithography and Nanoimprinting  Molding of a polymer using a photoresist master mold  Fast, cheap prototyping method  Down to 10 nm feature resolution.  Require little capital investment  Ambient laboratory conditions  Able to generate features on curved substrates
  • 71. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft What is Soft Lithography 71
  • 72. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Soft Lithography – Summary 1. Rapid prototyping: replication of master 2. Microcontact printing of molecules 3. Microfluidics Pre-industrialization 72 Rapid Prototyping • A system of channels is designed in a CAD program. • A commercial printer uses the CAD • file to produce a high-resolution transparency • This transparency is used as a photomask in contact photolithography to produce a master. • A master consists of a positive relief of • photoresist on a silicon wafer and serves as a mold for PDMS. • Liquid PDMS pre-polymer is poured over the master and cured for • 1 h at 60 °C. (C) The PDMS replica is peeled from the master • (D) the replica is sealed to a flat surface to enclose the channels.
  • 73. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Soft Lithography – Summary 73 Advantages: • Convenient and low cost • Rapid prototyping • Deformation of PDMS provides route to complex patterns • No optical diffraction limit • Non-planar or curved surfaces • Generation of 3D -structures • Control over surface chemistry • A broad range of materials • Applicable to manufacturing • Patterning over large areas Disadvantages: • Distortion of patterns • Poor registration/alignment • Compatibility with IC processes • Defects and their densities • μCP can only be applied to a number of surfaces • MIMIC is a relatively slow process Soft lithography: advantages and disadvantages
  • 75. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft A) Replica molding (REM)  Resolution and roughness of the master is reproduced  Advantage: easily bonded to most of surfaces (Si, SiO2, other plastic) facilitates fabrication of multilayered structures Master (Au) Replica (PU) Resolution 30 nm Channels of a miniaturized CE device created by molding PDMS against a lithographic master 1. Master mold is replicated in PDMS by casting and curing PDMS pre-polymer. 2. PDMS mold is oxidized in oxygen plasma for 1 minute and exposed to fluorinated silane for 2 hours to make a surface with low adhesion to PDMS. 3. PDMS is cast against this negative replica, cured and peeled off. 4. This will make the positive replica of the original master. Replica Molding (REM) 75 Cure on hotplate for few hours Peel off PDMS
  • 77. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Mechanical compression for feature size reduction Creating curved surface Molding on curved surface Y. Xia et al., Science 1996 Replica Molding (REM) Using mold elasticity 77
  • 78. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft The patterning process has high fidelity, with little feature size loss. Replica Molding (REM) 78
  • 79. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 79
  • 81. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Micro - contact printing (μCP) 81
  • 82. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Micro - contact printing (μCP) II) large-area printing on a planar surface with a rolling stamp I) Printing with a planar stamp. (A) Fabrication of the stamp; (B) Transfer of the “ink” to substrate • An “ink” is spread on a patterned PDMS stamp • The stamp is then brought into contact with the substrate • The “ink” is then transferred to the substrate where it can act as a resist against etching • “Ink” can be a SAM or a biological sample B) Microcontact printing (µCP) Resolution 300 nm 82
  • 83. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft 100mm stamp 20m  It uses a PDMS stamp to form patterns of self-assembled monolayers (SAMs) on the surfaces of substrates.  PDMS stamp is coated with an ink of the molecules and pressed onto the solid surface  Inking creates a Self Assembled Monolayer on the solid surface  the use of elastomers allows the micropatterned surface to come into conformal contact with the surfaces over large areas Micro - contact printing (μCP) 83
  • 84. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Xia & Whitesides, Angew. Chem. Int. Ed. 1998, 37, 550-575. A. Kumar & G. Whitesides, Applied Physics Lett. 1993 a. Printing on a planar surface with a planar stamp. b. Printing on a planar surface with a rolling stamp c. Printing on a non-planar surface with a planar stamp Micro - contact printing (μCP), with roller 84
  • 85. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Applying alkanethiols on stamp to form SAM • These monolayers allow control over wettability, adhesion, chemical reactivity, electrical conduction, and mass transport to underlying metal in ethanol Xia, Y.; Whitesides, G. M. Angew. Chem., Int. Ed. 1998, 37, 550. Michel, B.; Bernard, A., et al. IBM J. Res. & Dev. 2001, 45, 697. Substrate Molecules Popular “ink” molecules 85
  • 86. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Patterning of organic single crystals Nature 444, 913-917 (14 December 2006) http://www.nature.com/nature/journal/v444/n7121/pdf/nature05427.pdf a. Procedure used to grow organic single crystals on substrates that have been patterned by microcontact printing. To grow the patterned single crystals, the patterned substrate is placed in a glass tube with the organic source material, vacuum-sealed (0.38 mmHg), and placed in a temperature gradient furnace tube. b–d, Patterned single-crystal arrays of different organic semiconductor materials. The dotted square in each image indicates the size and location of one of the OTS-stamped domains, while the molecular structure of the organic material used is shown next to the image of its single-crystal array. (optical micrograph) OTS: octadecyltrichlorosilane The above three materials are all hydrophobic and they binds/sticks to OTS 86
  • 87. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Conformal micro-contact printing on rough surfaces Dependence of maximal roughness amplitude for spontaneous formation of conformal contact on the roughness wavelength (l) for a stamp with Young's modulus of 2.5 MPa and work of adhesion of 0.1J/m² (Sylgard 184, solid line) and for a stamp with modulus of 9 MPa and work of adhesion of 0.03J/m² (dotted line). Larger l allows for higher roughness. Conformal contact between a soft stamp and a hard substrate. 87
  • 88. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Micro-contact printing on curved substrates Whitesides, “Fabrication of submicrometer features on curved substrates by microcontact printing”, Science, 269, 664 (1995); Rogers and Whitesides, “Microcontact Printing and Electroplating on Curved Substrates: Production of Free- Standing Three-Dimensional Metallic Microstructures”, Adv. Mater. 9, 475 (1997). PDMS is soft, it can roll onto curves 88
  • 89. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Pattern transfer into Si(100) by anisotropic etching KOH anisotropic etching of Si is the most popular pattern transfer technique when the etching mask is too thin for liftoff or direct etch. But it is limited to Si along certain crystalline directions. Au Si 89
  • 90. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Micro-contact printing of proteins BSA: bovine serum albumin, bovine albumin (anti-Goat IgG – Alexa 488 and 594) Fluorescent image 90
  • 91. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Micro-contact printing of DNA S.A. Lange, V. Benes, D.P. Kern, J.K.H. Horber, A. Bernard, Anal. Chem. 2004, 76, 1641. A. Scheme of DNA printing. The surface of PDMS was modified such that it exposed positive charges on its surface. The stamp was incubated with target DNA molecules in a solution of low pH. The stamp was then rinsed, blown dry, and printed to deliver the DNA to the target surface. B. Fluorescence images of patterned FITC-labeled DNA on a glass surface after printing. C. AFM images revealing the printed DNA molecules deposited as patterns on mica substrates. AFM images (tapping mode in air) of stamped 1-μm lines of oligonucleotides (left, 20-bp oligos; right, 500-bp PCR fragments). 91
  • 93. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft • Continuous channels formed upon contact of PDMS stamp with substrate • A polymer precursor fills channels with capillary action • Polymer is cured and stamp is removed Resolution 1 μm C) Micromolding in capillaries (MIMIC) Micro-molding in capillary (MIMIC) Liquid pre- polymer Nano-molding in capillaries is possible Line-width: 100nm 93
  • 94. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Uses capillary forces to fill the gaps between substrate and PDMS master 1. Push the PDMS stamp against the substrate. 2. Elastic PDMS seals off walls and creates capillary channels. 3. prepolymer is placed at the ends of these channels and fills them automatically due to capillary force. 4. Prepolymer fills the channels using capillary forces. PDMS can absorb the solvent, which creates a partial vacuum inside the PDMS cavity and helps to draw in liquid polymer. 5. Cure the polymer 6. Peel off the PDMS mold Micro-molding in capillary (MIMIC) Kim & Whitesides et al, Nature, 1995, 376, 581 Xia, Y.; Whitesides, G. M. Ann. Rev. Mater. Sci 1998, 28, 153. a: PU (polyurethane) on Si b: polyaniline c: ZrO2 d: polystryene colloids e+f: free standing PU 94
  • 96. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft • PDMS stamp is filled with a prepolymer and placed on substrate • Polymer cured and stamp removed •Able to generate multilayer structures Resolution 250 nm D) Microtransfer molding (µTM) Micro - transfer molding (μTM) TM fabrication of a). one-layer microstructures; b). three-layer polymer microstructures. PDMS Pre-polymer Substrate 96
  • 97. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Zhao & Whitesides et al, Advanced Materials, 1996, 8, 837. Microstructures fabricated using TM. a) An SEM image of a fractured sample showing a pattern of isolated stars of UV-cured polyurethane (NOA 73) on Ag. b) An array of parallel lines of spin-on glass on Si with an aspect ratio (height/width) of 8. c) A two-layer structure: isolated micro-cylinders (1.5m in diameter) on 5m-wide lines, supported on a glass cover slide. d) A two-layer structure: a continuous web over a layer of 5m-wide lines, supported on a glass cover slide. e) A three-layer structure on a glass cover slide. The layers of 4 m-wide lines are oriented at 60o from each other. Structures in c-e were made of heat-cured epoxy (F109CLR). Micro - transfer molding (μTM) 97
  • 99. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft replica bagnata con solvente e posta a contatto con substrato Solvent-assisted micromolding (SAMIM) D) solvent-assisted micromolding (SAMIM) Comparison of micro-molding technologies 99
  • 100. Solvent assisted microcontact molding (SAMIM) (solvent assisted imprinting) Kim & Whitesides et al, Advanced Materials, 1997, 9,651. Substrates Silicon Glass Flexible transparency Polymer SU-8 (1μm) Shipley 1805 Photoresist (500nm) 3% PMMA (70nm) Solvent SU-8 (ethanol) Shipley 1805 photoresist (ethanol) 3% PMMA (acetone) Uses a solvent to wet the PDMS stamp and soften the structure polymer. Dissipate and evaporate the solvent through PDMS. (PDMS stamp can absorb the solvent because of the solvent permeability of PDMS.) The molded polymer structure becomes solidified in a few minutes after evaporation of solvent, while the stamp is still in conformable contact with the substrate. 100
  • 101. SAMIM of SU-8 in ethanol SU-8 2m diameter 101
  • 102. SAMIM of PMMA in acetone Au structure fabrication 102
  • 103. Hole array in PMMA Au dot array after liftoff SAMIM of PMMA in acetone Dot diameter 160nm. 103
  • 105. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography: λ, e, i, X, h/i, Altern., Soft Low cost mass production technique  Stamps can be Si or metal  Heating of the plastic at its softening temperature at lower pressures or at room- temperature at elevated pressures  Materials: PS, PMMA, PVC, … Hot embossing/imprinting Si stamp Imprinted channel in PMMA Metal mechanical micromachined tool Microplate with 96 CE devices Resolution 25 nm 105
  • 108. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Etching – Mask for a Mask • The mask must withstand the chemical environment. • A typical mask/substrate combination is oxide on silicon. • Resilient masks are typically grown or deposited in whole films, and must therefore be patterned through a photosensitive mask Reusable mask Photoresist coating Functional mask 108
  • 109. giuseppe.maruccio@unisalento.it Dry Etching Mechanisms 109 Dry etching technology uses plasma to generate chemically reactive species, starting from relatively inert molecular gases. Such species reacting with solid materials form volatile compounds, which are subsequently removed from pumping systems which are essential components of the machines used. In a dry etching machine, essentially two types of chemical reactions occur: - CHEMICAL-PHYSICAL REACTIONS IN THE GASEOUS PHASE: the collisions between the electrons and the molecules during plasma generation (excitement, ionization, dissociation, recombination) bring to the formation of reactive ions and molecules - SURFACE CHEMICAL REACTIONS: reactive ions and molecules act in the erosion of the material film on which the geometries of electronic devices are to be created.
  • 110. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Dry Etching Mechanisms • PHYSICAL: High-speed positive ions impact on the surface and transfer mechanical energy by removing substrate material. • Removal based on impact & momentum transfer • Poor material selectivity • Good directional control • High excitation energy • Lower pressure, <100 mTorr • CHEMICAL: the highly reactive (radical) neutral species produced in the plasma interact with the surface of the material and combine through reactions to the substrate forming volatile products. • Highest removal rate • Good material selectivity • Generally isotropic • Higher pressure, >100 mTorr • PHYSICAL/CHEMICAL • Good directional control • Intermediate pressure, ~100 mTorr 110
  • 111. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Etching – a Comparison ISOTROPIC • Wide variety of materials • No crystal alignment required • May be very fast • Sometimes less demand for mask resilience ANISOTROPIC • Predictable profile • Better depth control • No mask undercutting • Possibility of close feature arrangement Multiple layers are common 111
  • 112. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Isotropic Wet Etching Isotropic Wet Etching • Etch occurs in all crystallographic directions at the same rate. • Most common formulation is mixture of hydrofluoric, nitric and acetic acids (“HNA”: HF + HNO3 + CH3COOH). • Etch rate may be very fast, many microns per minute. • Masks are undercut. • High aspect ratio difficult because of diffusion limits. • Stirring enhances isotropy. • Isotropic wet etching is applicable to many materials besides silicon 112
  • 113. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Anisotropic Wet Etching • Etch occurs at different rates depending on exposed crystal • Usually in alkaline solutions (KOH, TMAH). • Heating typically required for rate control (e.g. > 80 oC). • Etch rate typically ~1 µm/min, limited by reactions rather than diffusion. • Maintains mask boundaries without undercut. • Angles determined by crystal structure (e.g. 54.7º). • Possible to get perfect orthogonal shapes outlines using 1-0-0 wafers. 113
  • 114. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Etching – a Comparison 114
  • 115. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition DRIE System Plasmalab System 100 Modular ICP-RIE Etching System Oxford Instruments • Reactive ion etching (RIE) is a dry etching method which combines plasma etching and ion beam etching principles. – Choice for most advanced product line – It’s not well suitable for deep etching (>10μm) • Inductively coupled plasma (ICP) reactors have been introduced for silicon RIE process leading to the deep reactive ion etching (DRIE) technique. – Higher plasma density – Higher etching rate, either for anisotropic and isotropic etching – Higher aspect ratio (AR) – Reduction of parasitic effects Use ICP etcher to create deep etching in silicon substrate 115
  • 116. giuseppe.maruccio@unisalento.it Deposition techniques • PVD • Used to deposit metals • High purity • Line of sight • CVD • Reactive gases interact with substrate • Used to deposit Si and dielectrics • Good film quality • Good step coverage
  • 117. giuseppe.maruccio@unisalento.it PVD • Physical methods produce the atoms that deposit on the substrate • Process usually done in an evacuated chamber Advantages:  Versatile – deposits almost any material  Very few chemical reactions  Little wafer damage Limitations:  Line-of-sight  Shadowing  Thickness uniformity  Difficult to evaporate materials with low vapor pressures
  • 118. giuseppe.maruccio@unisalento.it Evaporation • Material to be deposited is heated until it becomes vapor phase • The heated material flies into the substrate • The hotter the substrate, the better the film quality • Can deposit very fast relative to other methods, but not always good quality film (up to 200 nm/s film growth) • Rely on thermal energy supplied to the crucible or boat to evaporate atoms • Evaporated atoms travel through the evacuated space between the source and the sample and stick to the sample • Few, if any, chemical reactions occur due to low pressure • Can force a reaction by flowing a gas near the crucible • Surface reactions usually occur very rapidly and there is very little rearrangement of the surface atoms after sticking • Thickness uniformity and shadowing by surface topography, and step coverage are issues VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 118
  • 119. giuseppe.maruccio@unisalento.it e-beam Evaporation VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 119
  • 120. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Sputtering  The target (material to be deposited) and substrate are placed facing each other  A plasma is ignited between them under vacuum  A voltage bias between them causes ions from the plasma to ram into the target  The ions eject pieces of the target that “sputter” onto the substrate Magnetron Sputtering  A big magnet is used to force the electrons into spiral paths so that they spend more time ionizing neutral gas particles  This increases the number of ions  More ions increases the chances of knocking out some of the material to be sputtered  Increases efficiency VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 120
  • 121. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Sputtering VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 121
  • 122. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Pulsed Laser Deposition • Similar to Evaporation method, except uses a laser to heat the material to be deposited • Different because the intense energy creates a plasma • Plasmas not only contain inert material, but also ions and radicals which could chemically react with the surface • Depends on chemistry of reactants VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 122
  • 123. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Pulsed Laser Deposition VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 123
  • 124. giuseppe.maruccio@unisalento.it CVD Gases react with substrate Various types of CVD:  Atmospheric pressure – APCVD  Low pressure – LPCVD  Plasma enhanced – PECVD  High density plasma - HDPCVD
  • 125. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Chemical Vapor Deposition • In chemical deposition, the material being deposited on the substrate reacts / form bonds with the surface • The substrate as well as reactant temperature play a role in the rate of reaction • Precursor gas is pumped into the reaction chamber • It’s heated until reactive species form • Ex) SiH4  SiH2 + H2 • The reactive species chemically interact with the surface to stick to (or react with) it • Surface properties and temperature can determine how well something sticks VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 125
  • 126. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Steps in CVD 1. Transport reactants via forced convection to reaction region 2. Transport reactants via diffusion to wafer surface 3. Adsorb reactants on surface 4. Surface processes: chemical decomposition, surface migration, site incorporation, etc. 5. Desorption from surface 6. Transport byproducts through boundary layer 7. Transport byproducts away from deposition region
  • 127. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Plasma Enhanced CVD • Uses an RF or microwave E-field to strip electrons off the precursor gasses • Since e- are so much lighter than the rest of the molecule (ion), they accelerate in the E-field faster than the molecules • By the time the E-field changes direction (at RF or microwave frequencies) the electron has gained a lot of momentum and the remaining molecule (ion) has barely started to move • Thus, the e- have a high temperature and the molecules (ions) have a low temperature • This means that the substrate can have a lower temperature, too • Enables new substrates like glass and plastic • This is how TFT-LCD displays can be made Electrode Substrate RF Source Plasma Gas Optical CVD • Not always applicable • Uses different wavelengths of light to break precursor gas bond to form reactive species • Ex) Cl2 + h (photon)  2Cl (radicals) • Also enables low temperature deposition VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 127
  • 128. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Atomic Layer Deposition (ALD) VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 128
  • 129. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Atomic Layer Deposition (ALD) VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 129
  • 131. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Alternative strategies Top down • Optical lithography  Semiconductors • Electron beam lithography  Semiconductors • X-ray lithography  Semiconductors • Soft Lithographies  Organics, semiconductors Bottom-up • Self organized epitaxy  Semiconductor nanostructures • Self-assembling  Supramolecular structures (organics) • Biomolecular self organization  Biophysics, physiology VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 131
  • 132. giuseppe.maruccio@unisalento.it Self-Assembly 8 Ǻ Courtesy of Prof. A.Rowan, IMM, Nijmegen (The Netherland) 18 Ǻ 32 Ǻ Inner Diameter 8 Ǻ 45 Ǻ 85 Ǻ
  • 133. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition • Definition: spontaneous organization of molecules (objects) into stable, well- defined structures by non-covalent forces. • Driving force: thermodynamic equilibrium. • Final structure: determined by the subunits. • Biological 3D self assembly: folding of proteins, formation of DNA helix… Self assembled monolayer (SAM) Chemi-sorption and self- organization of long-chain organic molecules on flat substrates. Alkanethiolates CH3(CH2)nS- Au(111) -SH also binds to Ag, but Ag surface not as stable as Au. Laibinis,Whitesides, et al. JACS 1991, 113, 152 Self - assembling, classical –SH and Au bonding VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 133
  • 134. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition DNA origami
  • 135. giuseppe.maruccio@unisalento.it Chemical Solution Deposition • Material is deposited on the substrate in the liquid state • Spin Coating: Some liquid is placed on the substrate and it’s spun really fast until only a thin coating is left • Dip Coating: Dunk the substrate in solution • Spray Coating: Like spray painting the substrate • Screen Printing: Put a stencil on the substrate and use a squeegee to pull solution across • Ink-jet Printing: Same as in an ink-jet printer for a PC
  • 136. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Langmuir-Blodgett (LB) Films • A form of dip coating • You have a solution with a layer of special molecules on the surface • One side of the molecule is water-soluble, and the other is not (like soap) • Thus all the molecules are aligned on top of the solution • When you dunk the substrate in, you get a monolayer (one layer) of aligned molecules on the substrate • If you keep dunking it you’ll get a new layer each time • The water soluble side of one layer aligns with the water soluble side of the next (alternating alignment) VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 136
  • 137. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Langmuir-Blodgett (LB) Films VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 137
  • 138. giuseppe.maruccio@unisalento.it VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition Langmuir-Blodgett (LB) Films VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Deposition 138
  • 140. giuseppe.maruccio@unisalento.it Bonding and Packaging • Wires (=25 m) are bonded to package leads: The bond wires are attached using thermocompression, ultrasonic, or thermosonic techniques • Packaging is done by surface mount technology VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging 140
  • 141. giuseppe.maruccio@unisalento.it Copper wire Ball bonding VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging 141
  • 142. giuseppe.maruccio@unisalento.it Gold Ball Bonding VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging 142
  • 143. giuseppe.maruccio@unisalento.it Wire Bonding VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging 143
  • 144. giuseppe.maruccio@unisalento.it Pick and Place VIII. Micro- and Nano-fabrication techniques └ Miniaturization & Tech.Nodes └ Semiconductor growth └ Lithography └ Etching └ Implantation └ Packaging 144