SlideShare a Scribd company logo
1 of 27
Download to read offline
MWSCAS 2015
Fort Collins, Colorado, USA
August 2-5, 2015
Process Variation Aware Crosstalk Mitigation
for DWDM based Photonic NoC Architectures
Sai Vineel Reddy Chittamuru, Ishan Thakkar and Sudeep Pasricha
Department of Electrical and Computer Engineering
Colorado State University, Fort Collins, CO, U.S.A.
{sai.chittamuru, ishan.thakkar, sudeep}@colostate.edu
DOI: 10.1109/ISQED.2016.7479176
• Introduction
• Motivation and Contributions
• Related Work
• Impact of Localized Trimming on Crosstalk
• Double-bit Crosstalk Mitigation Technique
• Experimental Results
• Conclusion
Outline
1
Introduction
• Execution of modern complex applications necessitates
 Many-core processors
• To enable chip many-core processors (CMPs)
 Efficient communication fabrics are essential
Eletrical buses are no longer scalable
Electrical networks-on-chip (NoCs) are more viable
• With increase in core count, electrical NoC has
 Higher power dissipation
 Reduced performance (increased latency)
2
Mellonox 72-core chip
Intel Xeon Phi 60 core processor
To address drawbacks of electrical NoCs
Several new interconnect technologies are being explored
Benefits of Photonic Interconnects
3Source: L. Xu, et al. IEEE-PTL, 2012 and S. V. R. Chittamuru, et al. GLSVLSI 2015
• Photonic interconnects are potential solution to address
drawbacks of copper wire based electrical interconnects
• Advantages of photonic interconnects over copper wires:
 High bandwidth (~40 Gbps) with DWDM (dense
wavelength division multiplexing)
5× or higher compared to copper wires
 Low latency (10.45 ps/mm)
10× faster than copper wires
 Low power (7.9 fJ/bit)
 Better scalability, no pin limits
Photonic links for data communicationNoCs that use photonic interconnects provide higher
bandwidth with lower power consumption
Microring Resonator
4
Introduction to Photonic Elements
Modulator Detector
Electrical
Bit-stream
Electrical
Bit-stream
010101
Modulators and detectors perform E/O and O/E conversion of data
• Microring (MR) resonator operation with ON/OFF keying modulation
 Modulator to write data
 Detector to read data
SiGe
DopedWaveguide
Microring
Resonator
Circular
waveguide with
diameter 5µm
Trans Impedance
Amplifier (TIA)
E/O: Electrical to Optical and O/E: Optical to Electrical
101010010101010010100
Ideal Photonic Link Overview
5
Electrical
Bit-stream
Electrical
Bit-stream
Electrical
Bit-stream
Electrical
Bit-stream
MR Modulators
SiGe Doped
MR Detectors Trans Impedance
Amplifier (TIA)
Waveguide
Four DWDM (Dense
Wavelength Division
Multiplexing)
In real world, photonic
link is not ideal
MR: Micro Ring
6
• Existence of process variation also incurs crosstalk in
DMDM based photonic NoCs
MR Modulators
MR Detectors
SiGe Doped
TIA
Waveguide
Process variation causes
resonance wavelength drift
Unable to write on
dedicated wavelengths
Suppose modulation side
successfully writes data
Process variation causes
wavelength drift in detector
Read wrong data
(data corruption)
Process Variation Impact on Photonic Link
MR: Micro Ring
PV-Induced Crosstalk in Photonic Link
7
Electrical
Bit-stream
Electrical
Bit-stream
MR modulators
SiGe doped
MR detectors
Trans Impedance
Amplifier (TIA)
Waveguide
Crosstalk noise in
detector
Crosstalk noise
in waveguide
Electrical bit-streams with noise
• PV-induced crosstalk noise in ring detectors
 Decreases Signal to Noise Ratio (SNR)
 Increases Bit Error Rate (BER)
 Threatens reliable photonic communication
Crosstalk noise
in modulator
MR: Micro Ring
PV-Induced Crosstalk in Photonic Link
8
Electrical
Bit-stream
Electrical
Bit-stream
MR modulators
SiGe doped
MR detectors
Trans Impedance
Amplifier (TIA)
Waveguide
Crosstalk noise in
detector
Crosstalk noise
in waveguide
Electrical bit-streams with noise
• PV-induced crosstalk noise in ring detectors
 Decreases Signal to Noise Ratio (SNR)
 Increases Bit Error Rate (BER)
 Threatens reliable photonic communicationPV-induced crosstalk noise in MR detector needs to be mitigated
for reliable photonic communication
Crosstalk noise
in modulator
MR: Micro Ring
9
Voltage Tuning (Trimming):
=VON
VR
Input Port Output Port
n+
p+ n+
Thermal Tuning:
Input Port Output Port
Micro Heater
Wavelength
PowerTransmission
Voltage Tuning
Blue Shift
Wavelength
PowerTransmission
Thermal Tuning
Red Shift
These solutions increase intrinsic optical loss and crosstalk noise in
MRs and motivate new crosstalk mitigation mechanisms
How to Tolerate Process Variations?
Our Contributions
10
• Analytical models for PV-aware crosstalk
analysis
Impact of localized trimming on crosstalk
Crosstalk modeling for Corona PNoC
• Double bit crosstalk mitigation (DBCTM)
technique
To reduce crosstalk noise in PV-affected PNoCs
• Explore impact of DBCTM on DWDM-based
PNoCs
Analysis in terms of worst-case SNR
DBCTM performance implications
PNoC: Photonic Networks-on-chip
Corona PNoC
• Introduction
• Motivation and Contributions
• Related Work
• Impact of Localized Trimming on Crosstalk
• Double-bit Crosstalk Mitigation Technique
• Experimental Results
• Conclusion
Outline
11
Device Level Crosstalk:
• [C. H. Chen WOCC 2012] Crosstalk noise in single waveguide crossings is
shown to be close to -47.58 dB
• [Q. Xu, et al. Opt. Exp. 2006] A cascaded MR-based modulator is proposed
for low-density DWDM waveguides, with an extinction ratio of 13dB
• These works show that crosstalk noise is negligible at device level
Network Level Crosstalk and Mitigation:
• [L.H.K. Duong, et al. IEEE D&T 2014] Crosstalk analysis for the Corona
PNoC, where its data channels are studied and worst-case SNR is
estimated to be 14dB
• [S. V. R. Chittamuru, et al. IEEE D&T 2015] two encoding techniques
PCTM5B and PCTM6B are presented to mitigate the impacts of
crosstalk noise in DWDM based PNoCs.
• These works do not consider process variations and their impact on
crosstalk
Related Work
12
None of these works consider PV-aware crosstalk mitigation
Impact of Localized Trimming on Crosstalk
13
𝜱 𝒊, 𝒋 =
𝜹 𝟐
(𝒊 − 𝐣)
𝑭𝑺𝑹
𝒏
𝟐
+ 𝜹 𝟐
𝑯𝒆𝒓𝒆 𝜹 =
𝝀𝒋
𝟐𝑸′
𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1
TRANSMISSION
1
0
Ideal condition of MR passbands (without PV)
Increase in resonance wavelength
• We model passband overlap
with coupling factor (𝚽)
• With PV, passband shifts due
to change in refractive index
• Suppose PV induces red shift
• Trimming is used to
compensate this resonance
drift
• Passband overlap increases
with trimming of MRs
Passband overlap region
𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1
TRANSMISSION
1
0
MR passbands with PV
Increase in resonance wavelength
Red Shift
𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1
TRANSMISSION
1
0
MR passbands with PV after trimming
Increase in resonance wavelength
Increase in passband overlap region
Coupling factor increases with trimming of MRs
• With localized trimming
 Q-factor (Q’) of MR decreases
 Coupling factor (𝚽) and crosstalk noise increases
Impact of Localized Trimming on Crosstalk
14
Our work decreases crosstalk noise and improves
SNR in DWDM based PNoCs
0
2000
4000
6000
8000
10000
0
5
10
15
20
25
0 0.2 0.4 0.6 0.8 1
Q-factor
Increaseincouplingfactor
(φ)
Compensated PV-induced resonance shift (in nm)
increase in coupling factor
Q-factor
Double-Bit Crosstalk Mitigation Technique
15
• Crosstalk noise in PNoCs increases with
 Coupling factor (𝚽)
 Signal strength of adjacent non-resonant
wavelengths
• Localized trimming increases 𝚽
• DBCTM reduces crosstalk noise
 Modulates zero on alternate wavelengths
 Modulated zeros are shield bits
 Reduces signal strength of adjacent
non-resonant wavelengths
• Resonance shift has linear dependency on
length and width variation
Divide MRs in each
detecting node into
groups of 8 MRs
Determine the
thickness and width
variation in each MR
using SE and CD-SEM
Determine maximum
PV-induced resonance
red shifts (Δ𝛌max) in
each MR Group
Yes
Enable DBCTM
encoding in this
MR Group
Disable DBCTM
encoding in this
MR Group
No
Δ𝝺max> Δ𝝺th
DBCTM Technique
• We analyzed our DBCTM technique by porting it to Corona PNoC
 [D. Vantrease et al. MICRO 2009] Corona architecture with token slot
arbitration and 64×64 multiple write single read (MWSR) crossbar
• CMP configuration for implementation for Corona PNoC
Experimental Setup
16
Chip Many Core Configuration
Number of cores 256
Technology node 22nm
Memory controllers 32
Main memory 32GB; DDR4@30ns
Per Core:
L1 I-Cache size/Associativity 16KB/Direct Mapped Cache
L1 D-Cache size/Associativity 16KB/Direct Mapped Cache
L2 Cache size/ Associativity 128KB/ Direct Mapped Cache
L2 Coherence MOESI
Frequency 5 GHz
Issue Policy In-order
• Built a cycle accurate photonic network simulator in SystemC
• Trace driven simulations using GEM5 simulator (PARSEC benchmarks)
• 12 multithreaded application workloads from PARSEC benchmark
• Model and estimate PV in MRs using the VARIUS tool
• 100 process variation maps are considered for our evaluation
• Performance modeling using DSENT, CACTI 6.5, and circuit-level analysis
• Static and dynamic power/energy for photonic devices:
Source: [P. Grani, et al. JETC 2014] and [L.H.K. Duong, et al. IEEE Design and Test, 2014]
17
Energy consumption type Energy
Edynamic 0.42 pJ/bit
Elogic−dyn 0.18 pJ/bit
Photonic loss type Loss (in dB)
Propagation loss -0.274 per cm
Bending loss -0.005 per 90o
Inactive modulator through loss -0.0005
Active modulator power loss -0.6
Passing detector through loss -0.0005
Detecting detector power loss -1.6
Active modulator crosstalk coefficient -16
Detecting detector crosstalk coefficient -16
Performance and Energy Models
18
Worst-Case SNR Sensitivity Analysis
• Corona DBCTM X%
 Has X% ratio of shielding
bits to data bits
 Shielding bits are zeros
between data bits
 Shielding bits increase
laser and static power
• In Corona DBCTM X%
 Increase in shielding bits to data bits ratio
 reduces crosstalk noise
 Increases SNR
 Increases power consumption
• Worst SNR of Corona with DBCTM compared to its baseline
 25% shielding bits - 8.1% higher
 50% shielding bits – 19.67% higher
 75% shielding bits - 26% higher
 100% shielding bits – 40.5% higher
Corona: D. Vantrease et al. MICRO 2009
Increase in shielding bits of DBCTM
• Power consumption of Corona with DBCTM compared to its baseline
 25% shielding bits - 14% higher
 50% shielding bits - 20.1% higher
 75% shielding bits - 63.9% higher
 100% shielding bits - 104.1% higher
19
Worst-Case SNR Sensitivity Analysis
• Corona DBCTM X%
 Has X% ratio of shielding
bits to data bits
 Shielding bits are zeros
between data bits
 Shielding bits increase
laser and static power
• In Corona DBCTM X%
 Increase in shielding bits to data bits ratio
 reduces crosstalk noise
 Increases SNR
 Increases power consumption
• Worst SNR of Corona with DBCTM compared to its baseline
 25% shielding bits - 8.1% higher
 50% shielding bits – 19.67% higher
 75% shielding bits - 26% higher
 100% shielding bits – 40.5% higher
Corona: D. Vantrease et al. MICRO 2009
Increase in shielding bits of DBCTM
• Power consumption of Corona with DBCTM compared to its baseline
 25% shielding bits - 14% higher
 50% shielding bits - 20.1% higher
 75% shielding bits - 63.9% higher
 100% shielding bits - 104.1% higher
• To balance crosstalk reliability and power overheads
 DBCTM uses 50% shielding bits to data bits
20
• Worst-case SNR improvements of Corona with DBCTM
 19.28 to 44.13% compared to baseline
 12.44 to 34.19% compared to PCTM5B
 4.5 to 31.30% compared to PCTM6B
Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015
Results: Worst-case SNR comparison
21
• Worst-case SNR improvements of Corona with DBCTM
 19.28 to 44.13% compared to baseline
 12.44 to 34.19% compared to PCTM5B
 4.5 to 31.30% compared to PCTM6B
• Corona DBCTM (with 50% shielding bits)
 Reduces crosstalk noise in the detectors by using shielding bits between data bits
 Considers the PV profile of MRs to select MRs for shielding
Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015
Results: Worst-case SNR comparison
22
• Average packet latency of Corona with DBCTM has
 12.6% higher compared to baseline
 3.4% higher compared to PCTM5B
 2.1% higher compared to PCTM6B
Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015
Results: Corona Average Packet Latency
23
• Average packet latency of Corona with DBCTM has
 12.6% higher compared to baseline
 3.4% higher compared to PCTM5B
 2.1% higher compared to PCTM6B
Delay due to encoding and decoding of data with DBCTM
contributes to increase in average latency
Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015
Results: Corona Average Packet Latency
24
Corona: D. Vantrease et al. MICRO 2009
• Corona with the DBCTM technique
 Has 31.6% higher EDP compared to the baseline
 Increase in average latency and bits (increase in photonic hardware)
 Has 16.4% lower EDP compared to the best known crosstalk mitigation
technique PCTM6B
 Considerable laser, static power savings due to lower photonic hardware
PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015
Results: Corona Energy Delay Product
• Our proposed DBCTM technique with Corona PNoC
Reduces crosstalk noise in its detectors
Improves SNR by up to 44.13% compared to baseline
• Our proposed DBCTM technique compared to the best known
prior work
 Improves SNR by up to 31.30%
 Reduces EDP by 16.4%
• DBCTM technique is effective in overcoming trimming-induced
crosstalk in PNoCs to improve reliability
25
Conclusions
• Questions / Comments ?
Thank You
26

More Related Content

What's hot

IRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication SystemIRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication SystemIRJET Journal
 
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...IJECEIAES
 
Active self interference cancellation techniques in
Active self interference cancellation techniques inActive self interference cancellation techniques in
Active self interference cancellation techniques ineSAT Publishing House
 
Insights from S-parameters
Insights from S-parametersInsights from S-parameters
Insights from S-parametersteledynelecroy
 
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...Jian Chen
 
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...Jian Chen
 
Architecture of direct_digital_synthesiz
Architecture of direct_digital_synthesizArchitecture of direct_digital_synthesiz
Architecture of direct_digital_synthesizanjunarayanan
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
 
Introduction to wavelet transform
Introduction to wavelet transformIntroduction to wavelet transform
Introduction to wavelet transformRaj Endiran
 
Optical Fiber link Design Complete guide by Aamir Saleem
Optical Fiber link Design Complete guide by Aamir SaleemOptical Fiber link Design Complete guide by Aamir Saleem
Optical Fiber link Design Complete guide by Aamir SaleemAamir Saleem
 
Rise Time Budget Analysis and Design of Components
Rise Time Budget Analysis and Design of ComponentsRise Time Budget Analysis and Design of Components
Rise Time Budget Analysis and Design of ComponentsSaptarshi Mazumdar
 
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...Jian Chen
 
A better transient response, better PSRR and low quiescent current capacitor-...
A better transient response, better PSRR and low quiescent current capacitor-...A better transient response, better PSRR and low quiescent current capacitor-...
A better transient response, better PSRR and low quiescent current capacitor-...IRJET Journal
 

What's hot (20)

IRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication SystemIRJET- A Review on Microstrip Filter for the Application in Communication System
IRJET- A Review on Microstrip Filter for the Application in Communication System
 
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...
Performance Improvement for Hybrid L-band Remote Erbium Doped Fiber Amplifier...
 
Active self interference cancellation techniques in
Active self interference cancellation techniques inActive self interference cancellation techniques in
Active self interference cancellation techniques in
 
Insights from S-parameters
Insights from S-parametersInsights from S-parameters
Insights from S-parameters
 
L010218691
L010218691L010218691
L010218691
 
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...
Graded-index Polymer Multimode Waveguides for 100 Gb/s Board-level Data Trans...
 
05624556
0562455605624556
05624556
 
New
NewNew
New
 
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...
Bandwidth Enhancement in Multimode Polymer Waveguides Using Waveguide Layout ...
 
Architecture of direct_digital_synthesiz
Architecture of direct_digital_synthesizArchitecture of direct_digital_synthesiz
Architecture of direct_digital_synthesiz
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
Introduction to wavelet transform
Introduction to wavelet transformIntroduction to wavelet transform
Introduction to wavelet transform
 
Optical Fiber link Design Complete guide by Aamir Saleem
Optical Fiber link Design Complete guide by Aamir SaleemOptical Fiber link Design Complete guide by Aamir Saleem
Optical Fiber link Design Complete guide by Aamir Saleem
 
loss budget calculation in fiber optic link
 loss budget calculation in fiber optic link loss budget calculation in fiber optic link
loss budget calculation in fiber optic link
 
Reduction of audio acoustic in Audio-visual transceiving with single port
Reduction of audio acoustic in Audio-visual transceiving with single portReduction of audio acoustic in Audio-visual transceiving with single port
Reduction of audio acoustic in Audio-visual transceiving with single port
 
Rise Time Budget Analysis and Design of Components
Rise Time Budget Analysis and Design of ComponentsRise Time Budget Analysis and Design of Components
Rise Time Budget Analysis and Design of Components
 
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...
Low-Loss and High-Bandwidth Multimode Polymer Waveguide Components Using Refr...
 
Lab manual
Lab manualLab manual
Lab manual
 
A better transient response, better PSRR and low quiescent current capacitor-...
A better transient response, better PSRR and low quiescent current capacitor-...A better transient response, better PSRR and low quiescent current capacitor-...
A better transient response, better PSRR and low quiescent current capacitor-...
 
journal final
journal finaljournal final
journal final
 

Viewers also liked

Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...Ishan Thakkar
 
Mp So C 18 Apr
Mp So C 18 AprMp So C 18 Apr
Mp So C 18 AprFNian
 
Documentation System - Explained
Documentation System - ExplainedDocumentation System - Explained
Documentation System - ExplainedPatrick O'Flynn
 
On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)imranbashir
 
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...Jayesh Kumar Dalal
 
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...NHS England
 
Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technologyVivek Jha
 
Modern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of SystemsModern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of SystemsAmr E. Mohamed
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Tidal scale short_story_v2
Tidal scale short_story_v2Tidal scale short_story_v2
Tidal scale short_story_v2Chuck Piercey
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...eSAT Publishing House
 
DSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital FiltersDSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital FiltersAmr E. Mohamed
 
Statistical Quality Control.
Statistical Quality Control.Statistical Quality Control.
Statistical Quality Control.Raviraj Jadeja
 

Viewers also liked (20)

Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
Massed Refresh: An Energy-Efficient Technique to Reduce Refresh Overhead in H...
 
Variation- different perspectives
Variation- different perspectivesVariation- different perspectives
Variation- different perspectives
 
Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
Mathematical Modeling Experimental Approach of the Friction on the Tool-Chip ...
 
Mp So C 18 Apr
Mp So C 18 AprMp So C 18 Apr
Mp So C 18 Apr
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Documentation System - Explained
Documentation System - ExplainedDocumentation System - Explained
Documentation System - Explained
 
On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)On Chip Calibration And Compensation Techniques (11 03 08)
On Chip Calibration And Compensation Techniques (11 03 08)
 
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...Performance comparison of  XY,OE and DyAd routing algorithm by Load Variation...
Performance comparison of XY,OE and DyAd routing algorithm by Load Variation...
 
On-Chip Variation
On-Chip VariationOn-Chip Variation
On-Chip Variation
 
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...
How to use and interpret SPC (Statistical Process Control) charts – 20 Januar...
 
Blue gene technology
Blue gene technologyBlue gene technology
Blue gene technology
 
Six Sigma Way
Six Sigma Way Six Sigma Way
Six Sigma Way
 
Modern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of SystemsModern Control - Lec 02 - Mathematical Modeling of Systems
Modern Control - Lec 02 - Mathematical Modeling of Systems
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Blue brain
Blue brainBlue brain
Blue brain
 
Tidal scale short_story_v2
Tidal scale short_story_v2Tidal scale short_story_v2
Tidal scale short_story_v2
 
Physical design
Physical design Physical design
Physical design
 
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
Speed power exploration of 2-d intelligence network-on-chip for multi-clock m...
 
DSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital FiltersDSP_FOEHU - Lec 07 - Digital Filters
DSP_FOEHU - Lec 07 - Digital Filters
 
Statistical Quality Control.
Statistical Quality Control.Statistical Quality Control.
Statistical Quality Control.
 

Similar to Process Variation Aware Double-Bit Crosstalk Mitigation Technique Improves SNR by 40% for Photonic NoCs

Silicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and OpportunitiesSilicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and Opportunitiesinside-BigData.com
 
3-WE3_ExtendingTheReachOfVCSEL_Rev5
3-WE3_ExtendingTheReachOfVCSEL_Rev53-WE3_ExtendingTheReachOfVCSEL_Rev5
3-WE3_ExtendingTheReachOfVCSEL_Rev5Waruna Fernando
 
Digital transmission systems
Digital transmission systemsDigital transmission systems
Digital transmission systemsCKSunith1
 
Design of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applicationsDesign of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applicationsAlexander Decker
 
Digital Signal Processing Techniques for High-Speed Optical Communications Links
Digital Signal Processing Techniques for High-Speed Optical Communications LinksDigital Signal Processing Techniques for High-Speed Optical Communications Links
Digital Signal Processing Techniques for High-Speed Optical Communications LinksDarioPilori
 
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...Communication Systems & Networks
 
Slide fyp ver3
Slide fyp ver3Slide fyp ver3
Slide fyp ver3yusriyacob
 
Sam Samuel - Are we stuck in a Rut? The need for agressive research goals
Sam Samuel - Are we stuck in a Rut? The need for agressive research goalsSam Samuel - Are we stuck in a Rut? The need for agressive research goals
Sam Samuel - Are we stuck in a Rut? The need for agressive research goalsiMinds conference
 
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...IRJET Journal
 
Implementation of Wide Band Frequency Synthesizer Base on DFS (Digital Frequ...
Implementation of Wide Band Frequency Synthesizer Base on  DFS (Digital Frequ...Implementation of Wide Band Frequency Synthesizer Base on  DFS (Digital Frequ...
Implementation of Wide Band Frequency Synthesizer Base on DFS (Digital Frequ...IJMER
 
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPS
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPSHIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPS
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPSVLSICS Design
 
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...Lisa Bradley
 
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...NXP Admin
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdfessedikiftene
 
NASA Fundamental of FSO.pdf
NASA Fundamental of FSO.pdfNASA Fundamental of FSO.pdf
NASA Fundamental of FSO.pdfzoohir
 
Amplification, ROADM and Optical Networking activities at CPqD
Amplification, ROADM and Optical Networking activities at CPqDAmplification, ROADM and Optical Networking activities at CPqD
Amplification, ROADM and Optical Networking activities at CPqDCPqD
 
The wire
The wireThe wire
The wiresdpable
 

Similar to Process Variation Aware Double-Bit Crosstalk Mitigation Technique Improves SNR by 40% for Photonic NoCs (20)

Silicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and OpportunitiesSilicon Photonics for Extreme Computing - Challenges and Opportunities
Silicon Photonics for Extreme Computing - Challenges and Opportunities
 
Fiber Optics
Fiber OpticsFiber Optics
Fiber Optics
 
3-WE3_ExtendingTheReachOfVCSEL_Rev5
3-WE3_ExtendingTheReachOfVCSEL_Rev53-WE3_ExtendingTheReachOfVCSEL_Rev5
3-WE3_ExtendingTheReachOfVCSEL_Rev5
 
Digital transmission systems
Digital transmission systemsDigital transmission systems
Digital transmission systems
 
Design of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applicationsDesign of delta sigma modulators for integrated sensor applications
Design of delta sigma modulators for integrated sensor applications
 
Digital Signal Processing Techniques for High-Speed Optical Communications Links
Digital Signal Processing Techniques for High-Speed Optical Communications LinksDigital Signal Processing Techniques for High-Speed Optical Communications Links
Digital Signal Processing Techniques for High-Speed Optical Communications Links
 
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...
In-band Full-Duplex in Hand-held Applications: Analysis of canceller tuning r...
 
Slide fyp ver3
Slide fyp ver3Slide fyp ver3
Slide fyp ver3
 
Sam Samuel - Are we stuck in a Rut? The need for agressive research goals
Sam Samuel - Are we stuck in a Rut? The need for agressive research goalsSam Samuel - Are we stuck in a Rut? The need for agressive research goals
Sam Samuel - Are we stuck in a Rut? The need for agressive research goals
 
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...
Dispersion Compensation Module for WDM -PON at 5 -GB/S Downstream with Variou...
 
Implementation of Wide Band Frequency Synthesizer Base on DFS (Digital Frequ...
Implementation of Wide Band Frequency Synthesizer Base on  DFS (Digital Frequ...Implementation of Wide Band Frequency Synthesizer Base on  DFS (Digital Frequ...
Implementation of Wide Band Frequency Synthesizer Base on DFS (Digital Frequ...
 
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPS
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPSHIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPS
HIGH SPEED CONTINUOUS-TIME BANDPASS Σ∆ ADC FOR MIXED SIGNAL VLSI CHIPS
 
P358387
P358387P358387
P358387
 
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
 
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
Techniques and Challenges in Designing Wideband Power Amplifiers Using GaN an...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf
12-edicon20185G Designing a Narrowband 28 GHz Band Pass Filter.pdf
 
NASA Fundamental of FSO.pdf
NASA Fundamental of FSO.pdfNASA Fundamental of FSO.pdf
NASA Fundamental of FSO.pdf
 
Amplification, ROADM and Optical Networking activities at CPqD
Amplification, ROADM and Optical Networking activities at CPqDAmplification, ROADM and Optical Networking activities at CPqD
Amplification, ROADM and Optical Networking activities at CPqD
 
The wire
The wireThe wire
The wire
 

Recently uploaded

MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 

Recently uploaded (20)

MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 

Process Variation Aware Double-Bit Crosstalk Mitigation Technique Improves SNR by 40% for Photonic NoCs

  • 1. MWSCAS 2015 Fort Collins, Colorado, USA August 2-5, 2015 Process Variation Aware Crosstalk Mitigation for DWDM based Photonic NoC Architectures Sai Vineel Reddy Chittamuru, Ishan Thakkar and Sudeep Pasricha Department of Electrical and Computer Engineering Colorado State University, Fort Collins, CO, U.S.A. {sai.chittamuru, ishan.thakkar, sudeep}@colostate.edu DOI: 10.1109/ISQED.2016.7479176
  • 2. • Introduction • Motivation and Contributions • Related Work • Impact of Localized Trimming on Crosstalk • Double-bit Crosstalk Mitigation Technique • Experimental Results • Conclusion Outline 1
  • 3. Introduction • Execution of modern complex applications necessitates  Many-core processors • To enable chip many-core processors (CMPs)  Efficient communication fabrics are essential Eletrical buses are no longer scalable Electrical networks-on-chip (NoCs) are more viable • With increase in core count, electrical NoC has  Higher power dissipation  Reduced performance (increased latency) 2 Mellonox 72-core chip Intel Xeon Phi 60 core processor To address drawbacks of electrical NoCs Several new interconnect technologies are being explored
  • 4. Benefits of Photonic Interconnects 3Source: L. Xu, et al. IEEE-PTL, 2012 and S. V. R. Chittamuru, et al. GLSVLSI 2015 • Photonic interconnects are potential solution to address drawbacks of copper wire based electrical interconnects • Advantages of photonic interconnects over copper wires:  High bandwidth (~40 Gbps) with DWDM (dense wavelength division multiplexing) 5× or higher compared to copper wires  Low latency (10.45 ps/mm) 10× faster than copper wires  Low power (7.9 fJ/bit)  Better scalability, no pin limits Photonic links for data communicationNoCs that use photonic interconnects provide higher bandwidth with lower power consumption Microring Resonator
  • 5. 4 Introduction to Photonic Elements Modulator Detector Electrical Bit-stream Electrical Bit-stream 010101 Modulators and detectors perform E/O and O/E conversion of data • Microring (MR) resonator operation with ON/OFF keying modulation  Modulator to write data  Detector to read data SiGe DopedWaveguide Microring Resonator Circular waveguide with diameter 5µm Trans Impedance Amplifier (TIA) E/O: Electrical to Optical and O/E: Optical to Electrical 101010010101010010100
  • 6. Ideal Photonic Link Overview 5 Electrical Bit-stream Electrical Bit-stream Electrical Bit-stream Electrical Bit-stream MR Modulators SiGe Doped MR Detectors Trans Impedance Amplifier (TIA) Waveguide Four DWDM (Dense Wavelength Division Multiplexing) In real world, photonic link is not ideal MR: Micro Ring
  • 7. 6 • Existence of process variation also incurs crosstalk in DMDM based photonic NoCs MR Modulators MR Detectors SiGe Doped TIA Waveguide Process variation causes resonance wavelength drift Unable to write on dedicated wavelengths Suppose modulation side successfully writes data Process variation causes wavelength drift in detector Read wrong data (data corruption) Process Variation Impact on Photonic Link MR: Micro Ring
  • 8. PV-Induced Crosstalk in Photonic Link 7 Electrical Bit-stream Electrical Bit-stream MR modulators SiGe doped MR detectors Trans Impedance Amplifier (TIA) Waveguide Crosstalk noise in detector Crosstalk noise in waveguide Electrical bit-streams with noise • PV-induced crosstalk noise in ring detectors  Decreases Signal to Noise Ratio (SNR)  Increases Bit Error Rate (BER)  Threatens reliable photonic communication Crosstalk noise in modulator MR: Micro Ring
  • 9. PV-Induced Crosstalk in Photonic Link 8 Electrical Bit-stream Electrical Bit-stream MR modulators SiGe doped MR detectors Trans Impedance Amplifier (TIA) Waveguide Crosstalk noise in detector Crosstalk noise in waveguide Electrical bit-streams with noise • PV-induced crosstalk noise in ring detectors  Decreases Signal to Noise Ratio (SNR)  Increases Bit Error Rate (BER)  Threatens reliable photonic communicationPV-induced crosstalk noise in MR detector needs to be mitigated for reliable photonic communication Crosstalk noise in modulator MR: Micro Ring
  • 10. 9 Voltage Tuning (Trimming): =VON VR Input Port Output Port n+ p+ n+ Thermal Tuning: Input Port Output Port Micro Heater Wavelength PowerTransmission Voltage Tuning Blue Shift Wavelength PowerTransmission Thermal Tuning Red Shift These solutions increase intrinsic optical loss and crosstalk noise in MRs and motivate new crosstalk mitigation mechanisms How to Tolerate Process Variations?
  • 11. Our Contributions 10 • Analytical models for PV-aware crosstalk analysis Impact of localized trimming on crosstalk Crosstalk modeling for Corona PNoC • Double bit crosstalk mitigation (DBCTM) technique To reduce crosstalk noise in PV-affected PNoCs • Explore impact of DBCTM on DWDM-based PNoCs Analysis in terms of worst-case SNR DBCTM performance implications PNoC: Photonic Networks-on-chip Corona PNoC
  • 12. • Introduction • Motivation and Contributions • Related Work • Impact of Localized Trimming on Crosstalk • Double-bit Crosstalk Mitigation Technique • Experimental Results • Conclusion Outline 11
  • 13. Device Level Crosstalk: • [C. H. Chen WOCC 2012] Crosstalk noise in single waveguide crossings is shown to be close to -47.58 dB • [Q. Xu, et al. Opt. Exp. 2006] A cascaded MR-based modulator is proposed for low-density DWDM waveguides, with an extinction ratio of 13dB • These works show that crosstalk noise is negligible at device level Network Level Crosstalk and Mitigation: • [L.H.K. Duong, et al. IEEE D&T 2014] Crosstalk analysis for the Corona PNoC, where its data channels are studied and worst-case SNR is estimated to be 14dB • [S. V. R. Chittamuru, et al. IEEE D&T 2015] two encoding techniques PCTM5B and PCTM6B are presented to mitigate the impacts of crosstalk noise in DWDM based PNoCs. • These works do not consider process variations and their impact on crosstalk Related Work 12 None of these works consider PV-aware crosstalk mitigation
  • 14. Impact of Localized Trimming on Crosstalk 13 𝜱 𝒊, 𝒋 = 𝜹 𝟐 (𝒊 − 𝐣) 𝑭𝑺𝑹 𝒏 𝟐 + 𝜹 𝟐 𝑯𝒆𝒓𝒆 𝜹 = 𝝀𝒋 𝟐𝑸′ 𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1 TRANSMISSION 1 0 Ideal condition of MR passbands (without PV) Increase in resonance wavelength • We model passband overlap with coupling factor (𝚽) • With PV, passband shifts due to change in refractive index • Suppose PV induces red shift • Trimming is used to compensate this resonance drift • Passband overlap increases with trimming of MRs Passband overlap region 𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1 TRANSMISSION 1 0 MR passbands with PV Increase in resonance wavelength Red Shift 𝝺 𝑛𝝺 𝑛+1 𝝺 𝑛−1 TRANSMISSION 1 0 MR passbands with PV after trimming Increase in resonance wavelength Increase in passband overlap region Coupling factor increases with trimming of MRs
  • 15. • With localized trimming  Q-factor (Q’) of MR decreases  Coupling factor (𝚽) and crosstalk noise increases Impact of Localized Trimming on Crosstalk 14 Our work decreases crosstalk noise and improves SNR in DWDM based PNoCs 0 2000 4000 6000 8000 10000 0 5 10 15 20 25 0 0.2 0.4 0.6 0.8 1 Q-factor Increaseincouplingfactor (φ) Compensated PV-induced resonance shift (in nm) increase in coupling factor Q-factor
  • 16. Double-Bit Crosstalk Mitigation Technique 15 • Crosstalk noise in PNoCs increases with  Coupling factor (𝚽)  Signal strength of adjacent non-resonant wavelengths • Localized trimming increases 𝚽 • DBCTM reduces crosstalk noise  Modulates zero on alternate wavelengths  Modulated zeros are shield bits  Reduces signal strength of adjacent non-resonant wavelengths • Resonance shift has linear dependency on length and width variation Divide MRs in each detecting node into groups of 8 MRs Determine the thickness and width variation in each MR using SE and CD-SEM Determine maximum PV-induced resonance red shifts (Δ𝛌max) in each MR Group Yes Enable DBCTM encoding in this MR Group Disable DBCTM encoding in this MR Group No Δ𝝺max> Δ𝝺th DBCTM Technique
  • 17. • We analyzed our DBCTM technique by porting it to Corona PNoC  [D. Vantrease et al. MICRO 2009] Corona architecture with token slot arbitration and 64×64 multiple write single read (MWSR) crossbar • CMP configuration for implementation for Corona PNoC Experimental Setup 16 Chip Many Core Configuration Number of cores 256 Technology node 22nm Memory controllers 32 Main memory 32GB; DDR4@30ns Per Core: L1 I-Cache size/Associativity 16KB/Direct Mapped Cache L1 D-Cache size/Associativity 16KB/Direct Mapped Cache L2 Cache size/ Associativity 128KB/ Direct Mapped Cache L2 Coherence MOESI Frequency 5 GHz Issue Policy In-order
  • 18. • Built a cycle accurate photonic network simulator in SystemC • Trace driven simulations using GEM5 simulator (PARSEC benchmarks) • 12 multithreaded application workloads from PARSEC benchmark • Model and estimate PV in MRs using the VARIUS tool • 100 process variation maps are considered for our evaluation • Performance modeling using DSENT, CACTI 6.5, and circuit-level analysis • Static and dynamic power/energy for photonic devices: Source: [P. Grani, et al. JETC 2014] and [L.H.K. Duong, et al. IEEE Design and Test, 2014] 17 Energy consumption type Energy Edynamic 0.42 pJ/bit Elogic−dyn 0.18 pJ/bit Photonic loss type Loss (in dB) Propagation loss -0.274 per cm Bending loss -0.005 per 90o Inactive modulator through loss -0.0005 Active modulator power loss -0.6 Passing detector through loss -0.0005 Detecting detector power loss -1.6 Active modulator crosstalk coefficient -16 Detecting detector crosstalk coefficient -16 Performance and Energy Models
  • 19. 18 Worst-Case SNR Sensitivity Analysis • Corona DBCTM X%  Has X% ratio of shielding bits to data bits  Shielding bits are zeros between data bits  Shielding bits increase laser and static power • In Corona DBCTM X%  Increase in shielding bits to data bits ratio  reduces crosstalk noise  Increases SNR  Increases power consumption • Worst SNR of Corona with DBCTM compared to its baseline  25% shielding bits - 8.1% higher  50% shielding bits – 19.67% higher  75% shielding bits - 26% higher  100% shielding bits – 40.5% higher Corona: D. Vantrease et al. MICRO 2009 Increase in shielding bits of DBCTM • Power consumption of Corona with DBCTM compared to its baseline  25% shielding bits - 14% higher  50% shielding bits - 20.1% higher  75% shielding bits - 63.9% higher  100% shielding bits - 104.1% higher
  • 20. 19 Worst-Case SNR Sensitivity Analysis • Corona DBCTM X%  Has X% ratio of shielding bits to data bits  Shielding bits are zeros between data bits  Shielding bits increase laser and static power • In Corona DBCTM X%  Increase in shielding bits to data bits ratio  reduces crosstalk noise  Increases SNR  Increases power consumption • Worst SNR of Corona with DBCTM compared to its baseline  25% shielding bits - 8.1% higher  50% shielding bits – 19.67% higher  75% shielding bits - 26% higher  100% shielding bits – 40.5% higher Corona: D. Vantrease et al. MICRO 2009 Increase in shielding bits of DBCTM • Power consumption of Corona with DBCTM compared to its baseline  25% shielding bits - 14% higher  50% shielding bits - 20.1% higher  75% shielding bits - 63.9% higher  100% shielding bits - 104.1% higher • To balance crosstalk reliability and power overheads  DBCTM uses 50% shielding bits to data bits
  • 21. 20 • Worst-case SNR improvements of Corona with DBCTM  19.28 to 44.13% compared to baseline  12.44 to 34.19% compared to PCTM5B  4.5 to 31.30% compared to PCTM6B Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015 Results: Worst-case SNR comparison
  • 22. 21 • Worst-case SNR improvements of Corona with DBCTM  19.28 to 44.13% compared to baseline  12.44 to 34.19% compared to PCTM5B  4.5 to 31.30% compared to PCTM6B • Corona DBCTM (with 50% shielding bits)  Reduces crosstalk noise in the detectors by using shielding bits between data bits  Considers the PV profile of MRs to select MRs for shielding Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015 Results: Worst-case SNR comparison
  • 23. 22 • Average packet latency of Corona with DBCTM has  12.6% higher compared to baseline  3.4% higher compared to PCTM5B  2.1% higher compared to PCTM6B Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015 Results: Corona Average Packet Latency
  • 24. 23 • Average packet latency of Corona with DBCTM has  12.6% higher compared to baseline  3.4% higher compared to PCTM5B  2.1% higher compared to PCTM6B Delay due to encoding and decoding of data with DBCTM contributes to increase in average latency Corona: D. Vantrease et al. MICRO 2009PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015 Results: Corona Average Packet Latency
  • 25. 24 Corona: D. Vantrease et al. MICRO 2009 • Corona with the DBCTM technique  Has 31.6% higher EDP compared to the baseline  Increase in average latency and bits (increase in photonic hardware)  Has 16.4% lower EDP compared to the best known crosstalk mitigation technique PCTM6B  Considerable laser, static power savings due to lower photonic hardware PCTM5B and PCTM6B: S. V. R. Chittamuru et al. IEEE D&T 2015 Results: Corona Energy Delay Product
  • 26. • Our proposed DBCTM technique with Corona PNoC Reduces crosstalk noise in its detectors Improves SNR by up to 44.13% compared to baseline • Our proposed DBCTM technique compared to the best known prior work  Improves SNR by up to 31.30%  Reduces EDP by 16.4% • DBCTM technique is effective in overcoming trimming-induced crosstalk in PNoCs to improve reliability 25 Conclusions
  • 27. • Questions / Comments ? Thank You 26