SlideShare a Scribd company logo
1 of 27
Download to read offline
INVENTIVE
Kanwar Pal Singh
Cadence Design Systems
Finding Bugs Faster with
Assertion Based Verification (ABV)
Agenda
• Assertion-Based Verification Introduction
• Assertion Languages
– SVA and PSL
– Language Standards
• ABV Tools and Methodology
– What does each tool do?
– How do these tools complement each other?
– Overall methodology
• Conclusion
2
Traditional Verification
• Verification typically is focused on:
– Providing stimulus to blocks or an entire design.
– Watching for a response.
– The stimulus is applied to top-level interfaces, the response is read back
from top-level interfaces.
• This is a form of black-box
verification.
3
Verification Environment
HDL
Assertion-Based Solution
• Verification objects are added to “interesting” points inside the
design.
• These verification objects
transform a “black-box”
verification, to a “white-box”
scenario
• The effort needed to create the “white-box” scenario:
– Makes verification more efficient
– Allows you to use additional technology for verification
4
Verification Environment
HDL
A
A
A
AA
A
A
A
What is an Assertion?
• Assertions are verification
objects that:
– Watch for forbidden
behavior within a design
block or on it’s interfaces
– Track expected behavior
documented in the
assertions
– Improvement upon $display,
$monitor and assert
statements
5
Verification Environment
HDL
A
A
A
AA
A
A
A
Assertion Example
6
A description out of the spec
“After interrupt is asserted, acknowledge must come”
intr
iack
0 1 2 3 4 5
always @(posedge intr)
begin
repeat (3) @(posedge clk);
fork: pos_pos
begin
@(posedge iack)
$display("Assertion Success",$time);
disable pos_pos;
end
begin
repeat (4) @(posedge clk);
$display("Assertion Failure",$time);
disable pos_pos;
end
join
end // always
PSL : // psl ackn_protocol : assert always
{ rose(intr)} |=> {[*2];iack }! @(posedge clk);
SVA : ackn_protocol : assert property (@(posedge clk)
$rose(intr) |=> ##2 iack);
Functional Verification With Assertions
• Improved observability
• Identifies errors where they
take place instead of at the
outputs
• Monitors behavior for all
vectors
• Improved controllability
• Improved coverage
• Verification starts sooner
7
Large
Design
Interface
Constraints and
Assertions
A
A
A
A
A
A A
A A
A
A
A A A
A AA
RTL
Assertions
Verification Environment
Assertion-based Verification
What are the High level Benefits?
• Reduced TTM
– Start verification sooner
– Significantly improve the debug time (documented customer
cases have shown up to 50% time savings)
• Facilitates verification reuse
– Preserve design knowledge within Assertions
• Same assertions can be used for simulation, formal
analysis, and acceleration
• Productivity gains – stable model reached quicker
– Coverage holes identified
8
What Are Assertions Used For?
• Assuring that the interface of the design is being exercised correctly
• Finding errors deep within the design
• Identifying hard-to-find corner cases
• Improving simulation tests with coverage analysis
– Identify holes in the set of tests
– Eliminate inefficient or redundant tests
9
What Aren’t Assertions Used For?
• Race conditions
• Timing checks
• Equivalence Checking
• Checking data transformation
• Code coverage
Linting
Static timing analysis
Formal equivalence checking
Simulation/Acceleration
Code coverage tool
10
Resources for Extracting Assertions
Domain Action to extract assertion
Specification Review specifications documents
and extract features
Port list Review functionality of all block
ports and extract features
Flow diagrams and waveform Review data and control flow
through block; extract features
Block functional characteristics Review block functional
characteristics; extract features
Team reviews Conduct team reviews to extract
additional features
11
Don’t worry about overlap, worry about holes
Agenda
• Assertion-Based Verification Introduction
• Assertion Languages
– SVA and PSL
– Language Standards
• ABV Tools and Methodology
– What does each tool do?
– How do these tools complement each other?
– Overall methodology
• Conclusion
12
PSL vs SVA
• THERE IS NO BAD CHOICE TO MAKE
– Besides some subtle differences, they are very similar
• Recommendations
– Pick a language as there is no need to learn both
– Have a verification environment that supports both
• It is quite likely that whatever you pick, you will run into IP
containing assertions of the other language
13
Recommended PSL and SVA Subset
14
Operators PSL SVA Notes
Sequence Delimiters
Consecutive Repetition: zero or more cycles
Consecutive Repetition: one or more cycles
Consecutive Repetition
Non-Consecutive Repetition
Sequence Concatenation (non-overlapping)
Signal Edge Detection
Previous Values of Signals
always
never
Boolean Liveness
interrupt
{...}
[*]
[+]
[*count] [*range]
[=count] [=range]
;
rose(), fell()
prev(sig, n)
always
never
eventually!
abort
not
disable iff
SVA is implicitly always by default
Boolean Overlapping Implication ->
Boolean Non-Overlapping Implication -> next Avoid nested “-> next”
(...)
[*0:$]
[*1:$]
[*count] [*range]
[*=count] [*=range]
##1
$rose(), $fell()
$past(sig, n)
Sequence Strong Interpretation ! SVA only has a strong form
SVA only has sequence form
Sequence Non-Overlapping Implication
Sequence Overlapping Implication
|=>
|->
|=>
|->
80% of assertions can be written with 20% of language
onehot, onehot0 $onehot, $onehot0Built-in Functions
Latest Language Standards
• 1800-2009 – IEEE standard for System Verilog--Unified
Hardware Design, Specification, and Verification
Language
– SVA is part of the standard and is covered in 2 chapters
• 1850-2010 – IEEE standard for Property Specification
Language (PSL)
15
Agenda
• Assertion-Based Verification Introduction
• Assertion Languages
– SVA and PSL
– Language Standards
• ABV Tools and Methodology
– What does each tool do?
– How do these tools complement each other?
– Overall methodology
• Conclusion
16
ABV Tools
17
Functional Coverage
• Assertions and cover directives measure
functional coverage for each test.
• Functional coverage from all tests is
combined into a report of the test suite’s
total functional coverage.
Simulation (Dynamic ABV)
• Assertions act as monitors during
simulation.
• Assertions can be used for interactive
debugging during simulation.
• Assertion activity indicates functional
coverage.
Assertion-Based Acceleration (ABA)
• Assertions helps isolate the cause of
failures
• Catch bugs that require long setup times
• Accumulate additional coverage
information
Formal Analysis
• Assertions define correct behavior and
legal inputs.
• Exhaustive analysis of all possible states
without a testbench.
• Improves productivity and quality.
Simulation + Assertions = Observability
• Once an Assertion is violated, a message appears in the
console:
– reports beginning: start time (when finished or failed is reported)
– reports length in terms of cycle (when finished or failed is reported)
– points to expression that was violated (when failed is reported)
– prints the assertion statement portion (when failed is reported)
// psl example: assert always {e;f;g} |=> {g; d | e; c};
|
ncsim: *E,ASRTST (./test.v,68): (time 500 NS) Assertion
test.inst1.example has failed (5 cycles, starting 440 NS)
• Assertions can generate transactions
18
Points out explicitly which
expression in a sequence
caused the failure
Static ABV + Assertions = Controllability
• Verification can start early without
a testbench
• Exhaustive verification with
counter example for failures
• Helps find corner case bugs
19
20
Simulation (Dynamic ABV)
• Depends on quality of testbench
• Follows specific paths
• Limited controllability
• Applicable later in design cycle
Formal Analysis (Static ABV)
• No testbench needed – can use earlier
• Few depths typically equivalent to
millions of simulation vectors
• Limited by state space explosion
• Explored Depth
– Uncovers local corner case bugs
– Reports verification proof radius
Reachable
State Space
x
x
x
x
x
x
x
x
x
x
Bugs triggered
with simulation
Starting
State Point
The Difference Between Dynamic and Static
• Exhaustive
– Uncovers all possible bugs
x
x
xx
x
x
Assertion-based Acceleration (ABA)
• Assertion support in the acceleration adds observability with performance
– Enables exposing design problems quicker and reducing debug times
– Enables assertion firings from ‘long’ runs not viable in a simulation only
environment
• Supports same set of design files as simulation
• Executes long simulation runs much quicker
– Enables System level simulation with assertions
– Enables software bring-up with assertions
21
Functional Coverage
22
•Formal AnalysisA
A
A
A
A
A
A
A
Module / Block
•Formal Analysis
•Simulation
A
A
A
A
A
A
A
AA A
A
A
A
A
A A
A
A
A
AA
Integrated Blocks
•Simulation
•HW Acceleration
•Emulation A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A
A A
A
A
A
A
A
A
AA
A
A
A
A
A
A A
A
A
AA
Top-level Integrated Design
Aggregated
Coverage
Total
Coverage
Metrics
Plan
ABV Methodology Recap
July 8, 2011 Cadence Confidential: Cadence Internal Use Only23
Coverage
Metrics
Spec
Plan
Unified Metrics
Aggregated
Coverage
&
Checking
Leverage assertions as checks for feature validation throughout
the entire verification process
Verification
Engineers
Designers
Engineers
Agenda
• Assertion-Based Verification Introduction
• Assertion Languages
– SVA and PSL
– Language Standards
• ABV Tools and Methodology
– What does each tool do?
– How do these tools complement each other?
– Overall methodology
• Conclusion
24
Conclusion (1)
• An ABV methodology
– Begins with planning
– Spans the entire verification process from module to system
– Includes formal, simulation, and emulation/acceleration
– Is maximized by the integration of metrics from numerous
environments
– Is independent of language
25
Conclusion (2)
• An ABV methodology
provides
– Productivity
• Removes duplication of
effort between designer and
verification engineer
• Encourages reuse
– Quality
• Executable specification
• Formal exhaustiveness
• Methodology focused on
checking in addition to
coverage
26
Traditional Flow
RTL RTL
Block / Module Cluster / Block Full Chip
RTL RTLRTLRTL
Simulation Simulation
HW-based
Simulation
Testbench
Stimuli
Formal Analysis
Potential limited use
Confidence
Time
95+%
Done
Sim & HW
+ some formal
Limited sanity sim
Incisive
Formal
Verifier
Incisive
Formal
Verifier
HW-based
Simulation
Testbench
Stimuli
Targeted use
Simulation
Incisive
Formal
Verifier
New Done
TTM Gains
Original
Done
Enhanced Flow
Incisive
Unified
Simulator
Incisive
Unified
Simulator
Assertion-Based
Acceleration
Finding Bugs Faster with Assertion Based Verification (ABV)

More Related Content

What's hot

Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_final
sean chen
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
DVClub
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
Nirav Desai
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
Nirav Desai
 
Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014
BĂŠo TĂş
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
Nirav Desai
 

What's hot (20)

System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
Uvm dac2011 final_color
Uvm dac2011 final_colorUvm dac2011 final_color
Uvm dac2011 final_color
 
Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_final
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
system verilog
system verilogsystem verilog
system verilog
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Bidirectional Bus Modelling
Bidirectional Bus ModellingBidirectional Bus Modelling
Bidirectional Bus Modelling
 
Verilog hdl design examples
Verilog hdl design examplesVerilog hdl design examples
Verilog hdl design examples
 
Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDL
 

Viewers also liked

Doulos coverage-tips-tricks
Doulos coverage-tips-tricksDoulos coverage-tips-tricks
Doulos coverage-tips-tricks
Obsidian Software
 
Gs Us Roadmap For A World Class Information Security Management System– Isoie...
Gs Us Roadmap For A World Class Information Security Management System– Isoie...Gs Us Roadmap For A World Class Information Security Management System– Isoie...
Gs Us Roadmap For A World Class Information Security Management System– Isoie...
Tammy Clark
 
Logos -toulmin
Logos -toulminLogos -toulmin
Logos -toulmin
nstearns
 
Diet or product claim%2 c science as a wok
Diet or product claim%2 c science as a wokDiet or product claim%2 c science as a wok
Diet or product claim%2 c science as a wok
Romy Friedman
 
Code Coverage Revised : EclEmma on JaCoCo
Code Coverage Revised : EclEmma on JaCoCoCode Coverage Revised : EclEmma on JaCoCo
Code Coverage Revised : EclEmma on JaCoCo
Evgeny Mandrikov
 
Roadmap to world class safety for
Roadmap to world class safety for Roadmap to world class safety for
Roadmap to world class safety for
vinuvinu
 
New product development cycle model
New product development cycle modelNew product development cycle model
New product development cycle model
Mohit Singla
 

Viewers also liked (20)

Функциональная верификация HDL-кода
Функциональная верификация HDL-кодаФункциональная верификация HDL-кода
Функциональная верификация HDL-кода
 
Doulos coverage-tips-tricks
Doulos coverage-tips-tricksDoulos coverage-tips-tricks
Doulos coverage-tips-tricks
 
System Verilog Functional Coverage
System Verilog Functional CoverageSystem Verilog Functional Coverage
System Verilog Functional Coverage
 
Elementsof anargument ppt
Elementsof anargument pptElementsof anargument ppt
Elementsof anargument ppt
 
Gs Us Roadmap For A World Class Information Security Management System– Isoie...
Gs Us Roadmap For A World Class Information Security Management System– Isoie...Gs Us Roadmap For A World Class Information Security Management System– Isoie...
Gs Us Roadmap For A World Class Information Security Management System– Isoie...
 
BT Global Services - Our approach to Innovation
BT Global Services - Our approach to InnovationBT Global Services - Our approach to Innovation
BT Global Services - Our approach to Innovation
 
Tma World Viewpoint: Building Global Alignment Through Enterprise Wide Learning
Tma World Viewpoint: Building Global Alignment Through Enterprise Wide LearningTma World Viewpoint: Building Global Alignment Through Enterprise Wide Learning
Tma World Viewpoint: Building Global Alignment Through Enterprise Wide Learning
 
Logos -toulmin
Logos -toulminLogos -toulmin
Logos -toulmin
 
Diet or product claim%2 c science as a wok
Diet or product claim%2 c science as a wokDiet or product claim%2 c science as a wok
Diet or product claim%2 c science as a wok
 
Pragmatic Code Coverage
Pragmatic Code CoveragePragmatic Code Coverage
Pragmatic Code Coverage
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDL
 
Code Coverage Revised : EclEmma on JaCoCo
Code Coverage Revised : EclEmma on JaCoCoCode Coverage Revised : EclEmma on JaCoCo
Code Coverage Revised : EclEmma on JaCoCo
 
Roadmap to world class safety for
Roadmap to world class safety for Roadmap to world class safety for
Roadmap to world class safety for
 
Code coverage analysis in testing
Code coverage analysis in testingCode coverage analysis in testing
Code coverage analysis in testing
 
Code coverage & tools
Code coverage & toolsCode coverage & tools
Code coverage & tools
 
Road map to safety ppt
Road map to safety pptRoad map to safety ppt
Road map to safety ppt
 
Code coverage
Code coverageCode coverage
Code coverage
 
Enterprise Mobility - Strong Mobile Strategy (7 steps to get you started)
Enterprise Mobility - Strong Mobile Strategy (7 steps to get you started)Enterprise Mobility - Strong Mobile Strategy (7 steps to get you started)
Enterprise Mobility - Strong Mobile Strategy (7 steps to get you started)
 
Code Coverage
Code CoverageCode Coverage
Code Coverage
 
New product development cycle model
New product development cycle modelNew product development cycle model
New product development cycle model
 

Similar to Finding Bugs Faster with Assertion Based Verification (ABV)

Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
DVClub
 
Introduction to White box testing
Introduction to White box testingIntroduction to White box testing
Introduction to White box testing
Aliaa Monier Ismaail
 
How to become a testing expert
How to become a testing expertHow to become a testing expert
How to become a testing expert
gaoliang641
 

Similar to Finding Bugs Faster with Assertion Based Verification (ABV) (20)

Sva.pdf
Sva.pdfSva.pdf
Sva.pdf
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
 
Class9_SW_Testing_Strategies.pdf
Class9_SW_Testing_Strategies.pdfClass9_SW_Testing_Strategies.pdf
Class9_SW_Testing_Strategies.pdf
 
Small is Beautiful- Fully Automate your Test Case Design
Small is Beautiful- Fully Automate your Test Case DesignSmall is Beautiful- Fully Automate your Test Case Design
Small is Beautiful- Fully Automate your Test Case Design
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
Risk based testing and random testing
Risk based testing and random testingRisk based testing and random testing
Risk based testing and random testing
 
Software engineering Testing technique,test case,test suit design
Software engineering Testing technique,test case,test suit designSoftware engineering Testing technique,test case,test suit design
Software engineering Testing technique,test case,test suit design
 
Database Unit Testing Made Easy with VSTS
Database Unit Testing Made Easy with VSTSDatabase Unit Testing Made Easy with VSTS
Database Unit Testing Made Easy with VSTS
 
11 whiteboxtesting
11 whiteboxtesting11 whiteboxtesting
11 whiteboxtesting
 
Istqb foundation level day 1
Istqb foundation level   day 1Istqb foundation level   day 1
Istqb foundation level day 1
 
Software testing
Software testingSoftware testing
Software testing
 
Software testing part
Software testing partSoftware testing part
Software testing part
 
Road to Continuous Delivery - Wix.com
Road to Continuous Delivery - Wix.comRoad to Continuous Delivery - Wix.com
Road to Continuous Delivery - Wix.com
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
 
Lecture 21
Lecture 21Lecture 21
Lecture 21
 
Introduction to White box testing
Introduction to White box testingIntroduction to White box testing
Introduction to White box testing
 
How to become a testing expert
How to become a testing expertHow to become a testing expert
How to become a testing expert
 
Ncerc rlmca202 adm m3 ssm
Ncerc rlmca202  adm m3 ssmNcerc rlmca202  adm m3 ssm
Ncerc rlmca202 adm m3 ssm
 
Software Engineering (Software Quality Assurance & Testing: Supplementary Mat...
Software Engineering (Software Quality Assurance & Testing: Supplementary Mat...Software Engineering (Software Quality Assurance & Testing: Supplementary Mat...
Software Engineering (Software Quality Assurance & Testing: Supplementary Mat...
 
Test case management with MTM 2013
Test case management with MTM 2013Test case management with MTM 2013
Test case management with MTM 2013
 

More from DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
DVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
DVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
DVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
DVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
DVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
DVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
DVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 

More from DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

Recently uploaded

EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
Earley Information Science
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
Enterprise Knowledge
 

Recently uploaded (20)

Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Tech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdfTech Trends Report 2024 Future Today Institute.pdf
Tech Trends Report 2024 Future Today Institute.pdf
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 

Finding Bugs Faster with Assertion Based Verification (ABV)

  • 1. INVENTIVE Kanwar Pal Singh Cadence Design Systems Finding Bugs Faster with Assertion Based Verification (ABV)
  • 2. Agenda • Assertion-Based Verification Introduction • Assertion Languages – SVA and PSL – Language Standards • ABV Tools and Methodology – What does each tool do? – How do these tools complement each other? – Overall methodology • Conclusion 2
  • 3. Traditional Verification • Verification typically is focused on: – Providing stimulus to blocks or an entire design. – Watching for a response. – The stimulus is applied to top-level interfaces, the response is read back from top-level interfaces. • This is a form of black-box verification. 3 Verification Environment HDL
  • 4. Assertion-Based Solution • Verification objects are added to “interesting” points inside the design. • These verification objects transform a “black-box” verification, to a “white-box” scenario • The effort needed to create the “white-box” scenario: – Makes verification more efficient – Allows you to use additional technology for verification 4 Verification Environment HDL A A A AA A A A
  • 5. What is an Assertion? • Assertions are verification objects that: – Watch for forbidden behavior within a design block or on it’s interfaces – Track expected behavior documented in the assertions – Improvement upon $display, $monitor and assert statements 5 Verification Environment HDL A A A AA A A A
  • 6. Assertion Example 6 A description out of the spec “After interrupt is asserted, acknowledge must come” intr iack 0 1 2 3 4 5 always @(posedge intr) begin repeat (3) @(posedge clk); fork: pos_pos begin @(posedge iack) $display("Assertion Success",$time); disable pos_pos; end begin repeat (4) @(posedge clk); $display("Assertion Failure",$time); disable pos_pos; end join end // always PSL : // psl ackn_protocol : assert always { rose(intr)} |=> {[*2];iack }! @(posedge clk); SVA : ackn_protocol : assert property (@(posedge clk) $rose(intr) |=> ##2 iack);
  • 7. Functional Verification With Assertions • Improved observability • Identifies errors where they take place instead of at the outputs • Monitors behavior for all vectors • Improved controllability • Improved coverage • Verification starts sooner 7 Large Design Interface Constraints and Assertions A A A A A A A A A A A A A A A AA RTL Assertions Verification Environment
  • 8. Assertion-based Verification What are the High level Benefits? • Reduced TTM – Start verification sooner – Significantly improve the debug time (documented customer cases have shown up to 50% time savings) • Facilitates verification reuse – Preserve design knowledge within Assertions • Same assertions can be used for simulation, formal analysis, and acceleration • Productivity gains – stable model reached quicker – Coverage holes identified 8
  • 9. What Are Assertions Used For? • Assuring that the interface of the design is being exercised correctly • Finding errors deep within the design • Identifying hard-to-find corner cases • Improving simulation tests with coverage analysis – Identify holes in the set of tests – Eliminate inefficient or redundant tests 9
  • 10. What Aren’t Assertions Used For? • Race conditions • Timing checks • Equivalence Checking • Checking data transformation • Code coverage Linting Static timing analysis Formal equivalence checking Simulation/Acceleration Code coverage tool 10
  • 11. Resources for Extracting Assertions Domain Action to extract assertion Specification Review specifications documents and extract features Port list Review functionality of all block ports and extract features Flow diagrams and waveform Review data and control flow through block; extract features Block functional characteristics Review block functional characteristics; extract features Team reviews Conduct team reviews to extract additional features 11 Don’t worry about overlap, worry about holes
  • 12. Agenda • Assertion-Based Verification Introduction • Assertion Languages – SVA and PSL – Language Standards • ABV Tools and Methodology – What does each tool do? – How do these tools complement each other? – Overall methodology • Conclusion 12
  • 13. PSL vs SVA • THERE IS NO BAD CHOICE TO MAKE – Besides some subtle differences, they are very similar • Recommendations – Pick a language as there is no need to learn both – Have a verification environment that supports both • It is quite likely that whatever you pick, you will run into IP containing assertions of the other language 13
  • 14. Recommended PSL and SVA Subset 14 Operators PSL SVA Notes Sequence Delimiters Consecutive Repetition: zero or more cycles Consecutive Repetition: one or more cycles Consecutive Repetition Non-Consecutive Repetition Sequence Concatenation (non-overlapping) Signal Edge Detection Previous Values of Signals always never Boolean Liveness interrupt {...} [*] [+] [*count] [*range] [=count] [=range] ; rose(), fell() prev(sig, n) always never eventually! abort not disable iff SVA is implicitly always by default Boolean Overlapping Implication -> Boolean Non-Overlapping Implication -> next Avoid nested “-> next” (...) [*0:$] [*1:$] [*count] [*range] [*=count] [*=range] ##1 $rose(), $fell() $past(sig, n) Sequence Strong Interpretation ! SVA only has a strong form SVA only has sequence form Sequence Non-Overlapping Implication Sequence Overlapping Implication |=> |-> |=> |-> 80% of assertions can be written with 20% of language onehot, onehot0 $onehot, $onehot0Built-in Functions
  • 15. Latest Language Standards • 1800-2009 – IEEE standard for System Verilog--Unified Hardware Design, Specification, and Verification Language – SVA is part of the standard and is covered in 2 chapters • 1850-2010 – IEEE standard for Property Specification Language (PSL) 15
  • 16. Agenda • Assertion-Based Verification Introduction • Assertion Languages – SVA and PSL – Language Standards • ABV Tools and Methodology – What does each tool do? – How do these tools complement each other? – Overall methodology • Conclusion 16
  • 17. ABV Tools 17 Functional Coverage • Assertions and cover directives measure functional coverage for each test. • Functional coverage from all tests is combined into a report of the test suite’s total functional coverage. Simulation (Dynamic ABV) • Assertions act as monitors during simulation. • Assertions can be used for interactive debugging during simulation. • Assertion activity indicates functional coverage. Assertion-Based Acceleration (ABA) • Assertions helps isolate the cause of failures • Catch bugs that require long setup times • Accumulate additional coverage information Formal Analysis • Assertions define correct behavior and legal inputs. • Exhaustive analysis of all possible states without a testbench. • Improves productivity and quality.
  • 18. Simulation + Assertions = Observability • Once an Assertion is violated, a message appears in the console: – reports beginning: start time (when finished or failed is reported) – reports length in terms of cycle (when finished or failed is reported) – points to expression that was violated (when failed is reported) – prints the assertion statement portion (when failed is reported) // psl example: assert always {e;f;g} |=> {g; d | e; c}; | ncsim: *E,ASRTST (./test.v,68): (time 500 NS) Assertion test.inst1.example has failed (5 cycles, starting 440 NS) • Assertions can generate transactions 18 Points out explicitly which expression in a sequence caused the failure
  • 19. Static ABV + Assertions = Controllability • Verification can start early without a testbench • Exhaustive verification with counter example for failures • Helps find corner case bugs 19
  • 20. 20 Simulation (Dynamic ABV) • Depends on quality of testbench • Follows specific paths • Limited controllability • Applicable later in design cycle Formal Analysis (Static ABV) • No testbench needed – can use earlier • Few depths typically equivalent to millions of simulation vectors • Limited by state space explosion • Explored Depth – Uncovers local corner case bugs – Reports verification proof radius Reachable State Space x x x x x x x x x x Bugs triggered with simulation Starting State Point The Difference Between Dynamic and Static • Exhaustive – Uncovers all possible bugs x x xx x x
  • 21. Assertion-based Acceleration (ABA) • Assertion support in the acceleration adds observability with performance – Enables exposing design problems quicker and reducing debug times – Enables assertion firings from ‘long’ runs not viable in a simulation only environment • Supports same set of design files as simulation • Executes long simulation runs much quicker – Enables System level simulation with assertions – Enables software bring-up with assertions 21
  • 22. Functional Coverage 22 •Formal AnalysisA A A A A A A A Module / Block •Formal Analysis •Simulation A A A A A A A AA A A A A A A A A A A AA Integrated Blocks •Simulation •HW Acceleration •Emulation A A A A A A A A A A A A A A A A A A A A A A A A A A A A A AA A A A A A A A A A AA Top-level Integrated Design Aggregated Coverage Total Coverage Metrics Plan
  • 23. ABV Methodology Recap July 8, 2011 Cadence Confidential: Cadence Internal Use Only23 Coverage Metrics Spec Plan Unified Metrics Aggregated Coverage & Checking Leverage assertions as checks for feature validation throughout the entire verification process Verification Engineers Designers Engineers
  • 24. Agenda • Assertion-Based Verification Introduction • Assertion Languages – SVA and PSL – Language Standards • ABV Tools and Methodology – What does each tool do? – How do these tools complement each other? – Overall methodology • Conclusion 24
  • 25. Conclusion (1) • An ABV methodology – Begins with planning – Spans the entire verification process from module to system – Includes formal, simulation, and emulation/acceleration – Is maximized by the integration of metrics from numerous environments – Is independent of language 25
  • 26. Conclusion (2) • An ABV methodology provides – Productivity • Removes duplication of effort between designer and verification engineer • Encourages reuse – Quality • Executable specification • Formal exhaustiveness • Methodology focused on checking in addition to coverage 26 Traditional Flow RTL RTL Block / Module Cluster / Block Full Chip RTL RTLRTLRTL Simulation Simulation HW-based Simulation Testbench Stimuli Formal Analysis Potential limited use Confidence Time 95+% Done Sim & HW + some formal Limited sanity sim Incisive Formal Verifier Incisive Formal Verifier HW-based Simulation Testbench Stimuli Targeted use Simulation Incisive Formal Verifier New Done TTM Gains Original Done Enhanced Flow Incisive Unified Simulator Incisive Unified Simulator Assertion-Based Acceleration