SlideShare a Scribd company logo
1 of 3
Download to read offline
Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90
www.ijera.com 88 | P a g e
Implementation of Universal Asynchronous Receiver and
Transmitter
Bennuri Ashwini, Payata Srikanth Yadav, T. Ashok Kumar Reddy: Students of
ECE, M. Rajesh, Assitant Professor, B.Krishna, Associate Professor
Kite College of Professional Engineering Sciences-Shabad
ABSTRACT
Universal Asynchronous Receiver Transmitter (UART) is the serial communication protocol that is
used for data exchange between computer & peripherals. UART is a low velocity, short-distance, low-cost
protocol. UART includes three modules which are received, the baud rate generator and transmitter. The UART
design with Very High Description Language can be integrated into the Field Programmable Gate Array to
achieve stable data transmission and to make system reliable and compact. In the result and simulation part, this
project will focus on check the receive data with error free & baud rate generation at different frequencies.
Before synthesizing of UART a baud rate generator is incorporated into the system. We use the frequency
divider which sets itself to required frequency for the functionality at lower frequency. All modules are
designed using VERILOG and implemented on Xilinx Suite development board.
I. INTRODUCTION
UART transmitter fetches a data word in parallel
format and directing the UART to transmit it in a
serial format. Likewise, the Receiver must detect
transmission, which receive the data in serial format,
and stores the data in a parallel format. As the UART
is asynchronous in working, the receiver cannot
acknowledge the incoming of data; receiver generates
a local clock for the synchronisation of transmitter
when start bit gets received. There is no need of
generating separate clock by the transmitter.
Transmitter and receiver agree timing parameters in
advance for synchronizing the sending and receiving
units.
UART is an integrated circuit which plays a
predominant role in serial communication. ART
acquires the function of conversion between the serial
and parallel data. It provides Data Transfer between
two systems is at great distance and there is reduction
of signal distortion.
Parallel communication is a short distance
communication with lot of multi bit address bus and
data bus.
Serial communication is a long distance
transmission communication and is widely used. But
sometimes could not meet requirements due to Baud
rate equipments. For low speed peripheral devices we
use Serial communication. For complete
communication FIFO Principle is used.
Why do we implement UART?
As UART can be used when there is no
requirement for high speed and is inexpensive.
The protocol can be highly configurable. The
major part is matching the serial bus baud rate.
Present Work
This project specifies and checks the receiver
data with error free & baud rate generation at
different frequencies.
Implementation of UART
The internal module of transmitter consists of
parallel to serial converter and at the receiver end
consists of serial to parallel converter.
In Asynchronous serial communication, sharing
the clock with one another is not required, but the
sender and receiver must agree timing parameters.
Asynchronous transmission provides high reliability
and long transmission distance. Hence, UART is used
in data exchange between computer and peripherals.
UART allows full duplex communication in
serial link. This paper uses Verilog HDL to
implement the core functions of Serial
communication.
UART communication needs only two signal
lines (Rxd, Txd) for full duplex data
communication.Txd is the transmit side which acts as
output and Rxd is the receiver which acts as input.
There are two states in the signal line, using logic
1(high) and logic 0 (low) to distinguish respectively.
Advantages
1. High bandwidth solution.
2. Line break and false start bit detection.
3. Reconfigurable.
II. BLOCK DIAGRAM
BAUD RATE GENERATOR
Baud Rate Generator is actually a frequency
divider. The frequency clock produced by the baud
RESEARCH ARTICLE OPEN ACCESS
Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90
www.ijera.com 89 | P a g e
rate generator is not exactly the baud rate clock, but
16 times the baud rate clock. For sampling there
exists the ideal time at the middle point of serial data
bit.This output clock generated can be used as the
receive reference clock by the receiver UART.
RECEIVER MODULE
During the UART reception, the serial data and
the receiving clock are asynchronous, so it is very
important to correctly determine the start bit of a
frame data.
RXD transfers into logic 0 from logic 1which
resembles as the beginning of a data frame. Once the
start bit gets identified, next bit begin to count the
rising edge of the baud clock, and when counting
considers the sample RXD. Each value of the logic
level gets placed in the register rbuf [7, 0] in order.
When the count equals 8, we can ensure that all
the data bits are received, and are converted into a
byte parallel data.
UARTs resynchronize the internal clocks on
change of the data line. Due to resynchronisation they
reliably receive when the transmitter is sending at a
slightly different speed than it should. The other
UARTs do not support this, and they resynchronize
on the falling edge of the start bit only, and then read
the center of each expected data bit, and this works if
the broadcast data rate is accurate for allowance of
the stop bits for reliability.Three error detection
signals are commonly used in UART:
1. Parity Error used to justify the whether there
exists even or parity by focussing on 1’s.
2. Overrun Error specifies whether data is
overwritten than expected.
3. A framing error occurs when the designated start
and stop bits are not valid.
TRANSMITTER MODULE
The operationof transmit module is conversion
of the sending 8-bit parallel data into serial data, adds
start bit at the MSB of the data as well as the parity
and stop bits at the LSB of the data. When the UART
transmit module is reset, the transmit module
immediately gets activated to send the data. The
output appears as 1 start bit, 8 data bits, 1 parity bit
and 1 stop bit. The parity bit is specified as the
output. Finally, stop bit displays logic 1.
Depending on the manufacturer, specifies the
different representations for UARTs Intel called their
8251 device as a "Programmable Communication
Interface". The technique cannot send or receive data
at high speed, but provides level of compatibility.
Importance of UART
1. Synchronisation Controls the reception and
transmission time of the data
2. Increases the accuracy and reduces the effect of the
noise
UART Functioning
For the Full-duplex data communication UART
needs only two signal lines (Rxd, Txd) to complete.
Txd is the transmit side, which acts the output of
UART; Rxd is the receiver, which is used as the input
of UART. The two states in the signal line can be
distinguished using logic 1 (high) and logic 0 (low).
The start bit is used for alerting the receiver that
a word of data is about to be sent, and to force the
clock in the receiver to get synchronized with the
clock in the transmitter.
When the transmitter is idle state, the data line is
in the logic high state. After the first bit, the
individual bits are sent, with the least significant bit
being sent first. Each bit in the transmission has to
maintain exactly same amount of time and the
receiver concentrates at approximately halfway for
determining if bit is 0 or 1.The sender does not make
sure when the receiver expected at the value of bit.
When the receiver receives all the bits of data
word it concentrates on parity bit and then generates
the stop bit. If the stop bit does not appear then it
results in framing error. This is due to the variations
in clocks of receiver and transmitter module.
Irrespective of the received data the UART itself
eliminates the start, parity and stop bits. If there is a
new word ready for transmission the system gets self-
synchronised. If there is no data to transmit, the
transmission line can be idle.
SIMULATED OUTPUT OF TRANSMITTER
SIMULATED OUTPUT OF RECEIVER
Baud rate generator
Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90
www.ijera.com 90 | P a g e
SIMULATED OUTPUT OF UART
III. CONCLUSION
In this paper, we proposed a design of UART
with inbuilt Baud Rate generator. The design is
successfully simulated using Xilinx ISE 14.2 suite
software. The results are stable and reliable and show
the correct functionality. Hence, we can improve the
speed of UART by sending 16 bits per second of time.
But by sending 16 bit ,it become more complex to
count number of clock bit per unit time, so this can be
overcome by using multichannel UART in future.
IV. FUTURE SCOPE
This can be implemented through hardware if an
FPGA kit is available instead of software
implementation. UART Channels can be increased to
speed up the data transmission.
REFERENCES
[1] HU Hua, BAI Feng-e. Design and
Simulation of UART Serial Communication
Module Based on Verilog -HDL [J]. J
ISUANJ I YU XIANDA IHUA 2008 Vol. 8
Frank Durda Serial and UART Tutorial.
Uhclem@freebsd.org
[2] Amanpreet Kaur, Amandeep Kaur, “An
approach for designing a universal
asynchronous receiver transmitter (UART)”,
International Journal of Engineering
Research and Applications (IJERA) ISSN:
2248-9622 www.ijera.com Vol. 2, Issue 3,
May- Jun 2012, pp.2305-2311
[3] Liakot Ali ,Roslina Sidek,Ishak Aris,
Alauddin Mohd. Ali, Bambang Sunaryo
Suparjo. Design of a micro - UART (2004)
257– 268.
ABOUT AUTHORS
BENNURI ASHWINI as a student of IV year
Electronics and Communication engineering
Department at KITE College of Professional
engineering sciences, SHABAD, Ranga Reddy Dist.
PAYATA SRIKANTH as a student of IV year
Electronics and Communication engineering
Department at KITE College of Professional
engineering sciences, SHABAD, Ranga Reddy Dist.
T.ASHOK KUMAR REDDY as a student of IV year
Electronics and Communication engineering
Department at KITE College of Professional
engineering sciences, SHABAD, Ranga Reddy Dist.
B.KRISHNA completed B.Tech in
Electronics and Communication Engineering
from Dr. Paul-Raj Engineering College
Bhadrachalam (JNTUH) and M.Tech (VLSI-SD)
From SITAMS- Chittoor (JNTUH) . His interested
Research area is Analog and mixed signal systems
design. He has total of 9 years of teaching
experience. Right now He is working as Assoc.
Professor in the department of Electronics and
Communication Engineering in KITE College of
professional Engineering Scienes, Shabad
,HYDERABAD .

More Related Content

What's hot

UART(universal asynchronous receiver transmitter ) PPT
UART(universal asynchronous receiver transmitter ) PPTUART(universal asynchronous receiver transmitter ) PPT
UART(universal asynchronous receiver transmitter ) PPT
Sai_praneeth
 
Serial Communication
Serial CommunicationSerial Communication
Serial Communication
Rashmi
 
Seminar on serial communication
Seminar on serial communicationSeminar on serial communication
Seminar on serial communication
Samarth Patel
 

What's hot (20)

Uart
UartUart
Uart
 
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
Achieving Reduced Area and Power with Multi Bit Flip-Flop When Implemented In...
 
UART(universal asynchronous receiver transmitter ) PPT
UART(universal asynchronous receiver transmitter ) PPTUART(universal asynchronous receiver transmitter ) PPT
UART(universal asynchronous receiver transmitter ) PPT
 
Serial Communication
Serial CommunicationSerial Communication
Serial Communication
 
UART
UARTUART
UART
 
Serial data transfer
Serial data transferSerial data transfer
Serial data transfer
 
Asynchronous Serial Communication and standards
Asynchronous Serial Communication and standardsAsynchronous Serial Communication and standards
Asynchronous Serial Communication and standards
 
Data transfer scheme
Data transfer schemeData transfer scheme
Data transfer scheme
 
Serial Communication
Serial CommunicationSerial Communication
Serial Communication
 
UART
UARTUART
UART
 
UART Communication
UART CommunicationUART Communication
UART Communication
 
Universal Serial Communication Interface
Universal Serial Communication InterfaceUniversal Serial Communication Interface
Universal Serial Communication Interface
 
USART
USARTUSART
USART
 
Raspberry Pi - Lecture 3 Embedded Communication Protocols
Raspberry Pi - Lecture 3 Embedded Communication ProtocolsRaspberry Pi - Lecture 3 Embedded Communication Protocols
Raspberry Pi - Lecture 3 Embedded Communication Protocols
 
Micro c lab8(serial communication)
Micro c lab8(serial communication)Micro c lab8(serial communication)
Micro c lab8(serial communication)
 
Asynchronous data transfer
Asynchronous data transferAsynchronous data transfer
Asynchronous data transfer
 
Uart receiver
Uart receiverUart receiver
Uart receiver
 
Serial communication of microcontroller 8051
Serial communication of microcontroller 8051Serial communication of microcontroller 8051
Serial communication of microcontroller 8051
 
Seminar on serial communication
Seminar on serial communicationSeminar on serial communication
Seminar on serial communication
 
Serial Communication Uart soc
Serial Communication  Uart socSerial Communication  Uart soc
Serial Communication Uart soc
 

Viewers also liked

Digital Marketing 101
Digital Marketing 101Digital Marketing 101
Digital Marketing 101
Pinnacle_CM
 
A lev presentation_soc_policy150318a
A lev presentation_soc_policy150318aA lev presentation_soc_policy150318a
A lev presentation_soc_policy150318a
Victoria Best
 

Viewers also liked (13)

Как сделать ретроспективу полезной @ Agile Days'15
Как сделать ретроспективу полезной @ Agile Days'15 Как сделать ретроспективу полезной @ Agile Days'15
Как сделать ретроспективу полезной @ Agile Days'15
 
Digital Marketing 101
Digital Marketing 101Digital Marketing 101
Digital Marketing 101
 
"Книга в гостях у малышей". Неделя детской книги в первой младшей группе
"Книга в гостях у малышей". Неделя детской книги в первой младшей группе"Книга в гостях у малышей". Неделя детской книги в первой младшей группе
"Книга в гостях у малышей". Неделя детской книги в первой младшей группе
 
Importance of data standards for large scale data integration in chemistry
Importance of data standards for large scale data integration in chemistryImportance of data standards for large scale data integration in chemistry
Importance of data standards for large scale data integration in chemistry
 
Русская шахматная школа
Русская шахматная школаРусская шахматная школа
Русская шахматная школа
 
Patriarchy and climate change
Patriarchy and climate changePatriarchy and climate change
Patriarchy and climate change
 
Weathering Change: Vulnerability and Adaptation Strategies Among Women in Gha...
Weathering Change: Vulnerability and Adaptation Strategies Among Women in Gha...Weathering Change: Vulnerability and Adaptation Strategies Among Women in Gha...
Weathering Change: Vulnerability and Adaptation Strategies Among Women in Gha...
 
Actionable Social Media for the Call Center
Actionable Social Media for the Call CenterActionable Social Media for the Call Center
Actionable Social Media for the Call Center
 
A lev presentation_soc_policy150318a
A lev presentation_soc_policy150318aA lev presentation_soc_policy150318a
A lev presentation_soc_policy150318a
 
Quality Management System at Construction Project: A Questionnaire Survey
Quality Management System at Construction Project: A Questionnaire SurveyQuality Management System at Construction Project: A Questionnaire Survey
Quality Management System at Construction Project: A Questionnaire Survey
 
Ini adalah slide pertama
Ini adalah slide pertamaIni adalah slide pertama
Ini adalah slide pertama
 
Vulnerability, climate change adaptation and local food systems
Vulnerability, climate change adaptation and local food systemsVulnerability, climate change adaptation and local food systems
Vulnerability, climate change adaptation and local food systems
 
Review Paper on Energy Efficient Protocol in Wireless Sensor Network
Review Paper on Energy Efficient Protocol in Wireless Sensor NetworkReview Paper on Energy Efficient Protocol in Wireless Sensor Network
Review Paper on Energy Efficient Protocol in Wireless Sensor Network
 

Similar to Implementation of Universal Asynchronous Receiver and Transmitter

Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...
inventy
 
Serial Communication In Atmega 16
Serial Communication In Atmega 16Serial Communication In Atmega 16
Serial Communication In Atmega 16
Suren Kumar
 
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
International Journal of Science and Research (IJSR)
 

Similar to Implementation of Universal Asynchronous Receiver and Transmitter (20)

Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
Serial Communication Interface with Error Detection
Serial Communication Interface with Error DetectionSerial Communication Interface with Error Detection
Serial Communication Interface with Error Detection
 
M010617376
M010617376M010617376
M010617376
 
Firmware implementation of UART using Bare metal programming
Firmware implementation of UART using Bare metal programmingFirmware implementation of UART using Bare metal programming
Firmware implementation of UART using Bare metal programming
 
Universal Asynchronous Receive and transmit IP core
Universal Asynchronous Receive and transmit IP coreUniversal Asynchronous Receive and transmit IP core
Universal Asynchronous Receive and transmit IP core
 
Tutorial
TutorialTutorial
Tutorial
 
Design and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVMDesign and Verification of the UART and SPI protocol using UVM
Design and Verification of the UART and SPI protocol using UVM
 
Ju2416921695
Ju2416921695Ju2416921695
Ju2416921695
 
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIPVERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
VERIFICATION OF FOUR PORT ROUTER FOR NETWORK ON CHIP
 
Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...Development and Application of a Failure Monitoring System by Using the Vibra...
Development and Application of a Failure Monitoring System by Using the Vibra...
 
Hybrid Communication Protocol- UART & SPI
Hybrid Communication Protocol- UART & SPIHybrid Communication Protocol- UART & SPI
Hybrid Communication Protocol- UART & SPI
 
Serial Communication In Atmega 16
Serial Communication In Atmega 16Serial Communication In Atmega 16
Serial Communication In Atmega 16
 
Bus Data Acquisition and Remote Monitoring System Using Gsm & Can
Bus Data Acquisition and Remote Monitoring System Using Gsm & CanBus Data Acquisition and Remote Monitoring System Using Gsm & Can
Bus Data Acquisition and Remote Monitoring System Using Gsm & Can
 
serial-200505101453.pdf
serial-200505101453.pdfserial-200505101453.pdf
serial-200505101453.pdf
 
Wireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiverWireless data transmission through uart port using arm & rf transceiver
Wireless data transmission through uart port using arm & rf transceiver
 
EXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptxEXIDE PPT TEMPLATE.pptx
EXIDE PPT TEMPLATE.pptx
 
B0530714
B0530714B0530714
B0530714
 
6 STM32's USART.ppt
6 STM32's USART.ppt6 STM32's USART.ppt
6 STM32's USART.ppt
 
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
 
Network Performance Enhancement for 1G-EPON by DBA Algorithms
Network Performance Enhancement for 1G-EPON by DBA AlgorithmsNetwork Performance Enhancement for 1G-EPON by DBA Algorithms
Network Performance Enhancement for 1G-EPON by DBA Algorithms
 

Recently uploaded

Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
Kamal Acharya
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
chumtiyababu
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
jaanualu31
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
mphochane1998
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
Epec Engineered Technologies
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 

Recently uploaded (20)

Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech Civil
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Engineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planesEngineering Drawing focus on projection of planes
Engineering Drawing focus on projection of planes
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdf
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
 
Wadi Rum luxhotel lodge Analysis case study.pptx
Wadi Rum luxhotel lodge Analysis case study.pptxWadi Rum luxhotel lodge Analysis case study.pptx
Wadi Rum luxhotel lodge Analysis case study.pptx
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 

Implementation of Universal Asynchronous Receiver and Transmitter

  • 1. Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90 www.ijera.com 88 | P a g e Implementation of Universal Asynchronous Receiver and Transmitter Bennuri Ashwini, Payata Srikanth Yadav, T. Ashok Kumar Reddy: Students of ECE, M. Rajesh, Assitant Professor, B.Krishna, Associate Professor Kite College of Professional Engineering Sciences-Shabad ABSTRACT Universal Asynchronous Receiver Transmitter (UART) is the serial communication protocol that is used for data exchange between computer & peripherals. UART is a low velocity, short-distance, low-cost protocol. UART includes three modules which are received, the baud rate generator and transmitter. The UART design with Very High Description Language can be integrated into the Field Programmable Gate Array to achieve stable data transmission and to make system reliable and compact. In the result and simulation part, this project will focus on check the receive data with error free & baud rate generation at different frequencies. Before synthesizing of UART a baud rate generator is incorporated into the system. We use the frequency divider which sets itself to required frequency for the functionality at lower frequency. All modules are designed using VERILOG and implemented on Xilinx Suite development board. I. INTRODUCTION UART transmitter fetches a data word in parallel format and directing the UART to transmit it in a serial format. Likewise, the Receiver must detect transmission, which receive the data in serial format, and stores the data in a parallel format. As the UART is asynchronous in working, the receiver cannot acknowledge the incoming of data; receiver generates a local clock for the synchronisation of transmitter when start bit gets received. There is no need of generating separate clock by the transmitter. Transmitter and receiver agree timing parameters in advance for synchronizing the sending and receiving units. UART is an integrated circuit which plays a predominant role in serial communication. ART acquires the function of conversion between the serial and parallel data. It provides Data Transfer between two systems is at great distance and there is reduction of signal distortion. Parallel communication is a short distance communication with lot of multi bit address bus and data bus. Serial communication is a long distance transmission communication and is widely used. But sometimes could not meet requirements due to Baud rate equipments. For low speed peripheral devices we use Serial communication. For complete communication FIFO Principle is used. Why do we implement UART? As UART can be used when there is no requirement for high speed and is inexpensive. The protocol can be highly configurable. The major part is matching the serial bus baud rate. Present Work This project specifies and checks the receiver data with error free & baud rate generation at different frequencies. Implementation of UART The internal module of transmitter consists of parallel to serial converter and at the receiver end consists of serial to parallel converter. In Asynchronous serial communication, sharing the clock with one another is not required, but the sender and receiver must agree timing parameters. Asynchronous transmission provides high reliability and long transmission distance. Hence, UART is used in data exchange between computer and peripherals. UART allows full duplex communication in serial link. This paper uses Verilog HDL to implement the core functions of Serial communication. UART communication needs only two signal lines (Rxd, Txd) for full duplex data communication.Txd is the transmit side which acts as output and Rxd is the receiver which acts as input. There are two states in the signal line, using logic 1(high) and logic 0 (low) to distinguish respectively. Advantages 1. High bandwidth solution. 2. Line break and false start bit detection. 3. Reconfigurable. II. BLOCK DIAGRAM BAUD RATE GENERATOR Baud Rate Generator is actually a frequency divider. The frequency clock produced by the baud RESEARCH ARTICLE OPEN ACCESS
  • 2. Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90 www.ijera.com 89 | P a g e rate generator is not exactly the baud rate clock, but 16 times the baud rate clock. For sampling there exists the ideal time at the middle point of serial data bit.This output clock generated can be used as the receive reference clock by the receiver UART. RECEIVER MODULE During the UART reception, the serial data and the receiving clock are asynchronous, so it is very important to correctly determine the start bit of a frame data. RXD transfers into logic 0 from logic 1which resembles as the beginning of a data frame. Once the start bit gets identified, next bit begin to count the rising edge of the baud clock, and when counting considers the sample RXD. Each value of the logic level gets placed in the register rbuf [7, 0] in order. When the count equals 8, we can ensure that all the data bits are received, and are converted into a byte parallel data. UARTs resynchronize the internal clocks on change of the data line. Due to resynchronisation they reliably receive when the transmitter is sending at a slightly different speed than it should. The other UARTs do not support this, and they resynchronize on the falling edge of the start bit only, and then read the center of each expected data bit, and this works if the broadcast data rate is accurate for allowance of the stop bits for reliability.Three error detection signals are commonly used in UART: 1. Parity Error used to justify the whether there exists even or parity by focussing on 1’s. 2. Overrun Error specifies whether data is overwritten than expected. 3. A framing error occurs when the designated start and stop bits are not valid. TRANSMITTER MODULE The operationof transmit module is conversion of the sending 8-bit parallel data into serial data, adds start bit at the MSB of the data as well as the parity and stop bits at the LSB of the data. When the UART transmit module is reset, the transmit module immediately gets activated to send the data. The output appears as 1 start bit, 8 data bits, 1 parity bit and 1 stop bit. The parity bit is specified as the output. Finally, stop bit displays logic 1. Depending on the manufacturer, specifies the different representations for UARTs Intel called their 8251 device as a "Programmable Communication Interface". The technique cannot send or receive data at high speed, but provides level of compatibility. Importance of UART 1. Synchronisation Controls the reception and transmission time of the data 2. Increases the accuracy and reduces the effect of the noise UART Functioning For the Full-duplex data communication UART needs only two signal lines (Rxd, Txd) to complete. Txd is the transmit side, which acts the output of UART; Rxd is the receiver, which is used as the input of UART. The two states in the signal line can be distinguished using logic 1 (high) and logic 0 (low). The start bit is used for alerting the receiver that a word of data is about to be sent, and to force the clock in the receiver to get synchronized with the clock in the transmitter. When the transmitter is idle state, the data line is in the logic high state. After the first bit, the individual bits are sent, with the least significant bit being sent first. Each bit in the transmission has to maintain exactly same amount of time and the receiver concentrates at approximately halfway for determining if bit is 0 or 1.The sender does not make sure when the receiver expected at the value of bit. When the receiver receives all the bits of data word it concentrates on parity bit and then generates the stop bit. If the stop bit does not appear then it results in framing error. This is due to the variations in clocks of receiver and transmitter module. Irrespective of the received data the UART itself eliminates the start, parity and stop bits. If there is a new word ready for transmission the system gets self- synchronised. If there is no data to transmit, the transmission line can be idle. SIMULATED OUTPUT OF TRANSMITTER SIMULATED OUTPUT OF RECEIVER Baud rate generator
  • 3. Bennuri Ashwini et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 3, ( Part -3) March 2015, pp.88-90 www.ijera.com 90 | P a g e SIMULATED OUTPUT OF UART III. CONCLUSION In this paper, we proposed a design of UART with inbuilt Baud Rate generator. The design is successfully simulated using Xilinx ISE 14.2 suite software. The results are stable and reliable and show the correct functionality. Hence, we can improve the speed of UART by sending 16 bits per second of time. But by sending 16 bit ,it become more complex to count number of clock bit per unit time, so this can be overcome by using multichannel UART in future. IV. FUTURE SCOPE This can be implemented through hardware if an FPGA kit is available instead of software implementation. UART Channels can be increased to speed up the data transmission. REFERENCES [1] HU Hua, BAI Feng-e. Design and Simulation of UART Serial Communication Module Based on Verilog -HDL [J]. J ISUANJ I YU XIANDA IHUA 2008 Vol. 8 Frank Durda Serial and UART Tutorial. Uhclem@freebsd.org [2] Amanpreet Kaur, Amandeep Kaur, “An approach for designing a universal asynchronous receiver transmitter (UART)”, International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 3, May- Jun 2012, pp.2305-2311 [3] Liakot Ali ,Roslina Sidek,Ishak Aris, Alauddin Mohd. Ali, Bambang Sunaryo Suparjo. Design of a micro - UART (2004) 257– 268. ABOUT AUTHORS BENNURI ASHWINI as a student of IV year Electronics and Communication engineering Department at KITE College of Professional engineering sciences, SHABAD, Ranga Reddy Dist. PAYATA SRIKANTH as a student of IV year Electronics and Communication engineering Department at KITE College of Professional engineering sciences, SHABAD, Ranga Reddy Dist. T.ASHOK KUMAR REDDY as a student of IV year Electronics and Communication engineering Department at KITE College of Professional engineering sciences, SHABAD, Ranga Reddy Dist. B.KRISHNA completed B.Tech in Electronics and Communication Engineering from Dr. Paul-Raj Engineering College Bhadrachalam (JNTUH) and M.Tech (VLSI-SD) From SITAMS- Chittoor (JNTUH) . His interested Research area is Analog and mixed signal systems design. He has total of 9 years of teaching experience. Right now He is working as Assoc. Professor in the department of Electronics and Communication Engineering in KITE College of professional Engineering Scienes, Shabad ,HYDERABAD .