SlideShare a Scribd company logo
1 of 20
Download to read offline
6/23/2014 © 2014 ANSYS, Inc. 1 
What’s New in RedHawk™ 2014 
Design Automation Conference 2014
6/23/2014 © 2014 ANSYS, Inc. 2 
RedHawk 2014 
Industry Standard Power Noise Reliability Sign-Off 
Industry Standard 
Input Data 
Foundry Certified 
Collaterals 
Package Layout RedHawk 2014 Totem™ IP Models 
Connectivity, 
Static IR 
Power, Signal 
EM 
Dynamic 
Voltage Drop 
Rush Current 
Substrate 
Noise 
ESD Integrity 
Chip Power 
Model 
Impact on 
Timing
6/23/2014 © 2014 ANSYS, Inc. 3 
Best-in-Class Engines 
Industry Standard Power Noise Reliability Sign-Off 
VectorLess™ Statistical, RTL2GDS, Mixed-mode Sign-off Coverage 
Integrated 
Extraction, 
Solver 
Silicon Validated 
Accuracy 
On-die RLC, Package/PCB RLCK 
APL, Pico-second Resolution 
Scalable 
Architecture 
Native Stacked Die (3D, 2.5D), Distributed Full-chip Capacity
6/23/2014 © 2014 ANSYS, Inc. 4 
Source: ARM FinFET study, 2013 
FinFET Advantages: 
• Improved performance 
• Reduced power 
• Higher device density 
Technology Trends: FinFET Adoption
6/23/2014 © 2014 ANSYS, Inc. 5 
FinFET Based Design Challenges 
Reduced Noise Margins 
Requirements for FinFET Based Design Power Noise Sign-off 
• Capacity Ultra-large Design Modeling 
• Reliability EM and ESD Accuracy 
• Chip-Package-System Comprehensive and Accurate Noise Prediction 
Reduced EM / ESD Tolerance Increased Temp. Effect
6/23/2014 © 2014 ANSYS, Inc. 6 
FinFET Design Challenges: Power Noise 
Higher Voltage Drop 
IR + L di / dt 
More Switching Current 
(Higher Density) 
Higher Peak Currents 
(25% more) 
More Complex and Higher 
Grid Impedance 
Reduced Supply Voltages 
(<800mV) 
• 100mV on 1V (10%) vs 150mV on 700mV (21%) 
• Significantly lower tolerance for error 
On-Chip Power Grid Circuits Package / PCB 
Foundry Certification Switching Scenario Detailed Model 
Exploding Capacity, Complexity and Accuracy Needs
6/23/2014 © 2014 ANSYS, Inc. 7 
FinFET Design Challenges: EM Reliability 
Degraded EM Limits Heightened EM Violations 
(30% Less) 
Increased Peak Current 
(25% more) 
Increased Self Heating 
Power EM Post Thermal EM 
Higher FinFET Temp 
• Via and Wire EM limits routing / driver sizing 
• Thermal impact on EM: 
– 25ºC increase on FinFET degrades expected 
lifetime by 3x to 5x on device and metal layers
6/23/2014 © 2014 ANSYS, Inc. 8 
FinFET Design Challenges: ESD Reliability 
Higher ESD Sensitivity 
• Careful layout based ESD design planning 
• ESD integrity as part of sign-off 
Higher Device Sizes 
Lack of Snapback 
Device Support 
Degraded Diode 
Protection 
Reduced Interconnect 
Reliability
6/23/2014 © 2014 ANSYS, Inc. 9 
FinFETs: Expanding Capacity Challenges 
Discrete 
Single core 
Dual core 
Quad-core 
Multi-core 
CPU + GPU, 
DDR5, … 
~1.5B+ nodes 
~ 300M gates 
~3B+ nodes 
~500M nodes 
~ 120M gates 
~100M nodes 
~50M nodes ~ 50M gates 
~ 12M gates 
Multi-CPU 
Distributed 
Hierarchical 
Smart Caching
6/23/2014 © 2014 ANSYS, Inc. 10 
DMP: Distributed Machine Processing 
Capacity and Performance 
Analysis Result 
Exploration 
Distributed 
Simulation 
• Distributed full-chip simulation with package and PCB impact 
• Design split and simulated over the network with each partition full-chip aware 
• Full flat accuracy with 2-3X performance gain 
Chip + Package + PCB
6/23/2014 © 2014 ANSYS, Inc. 11 
DMP Performance Benchmark 
3X Performance Improvement from Prior Generation 
RedHawk 
(2009) 
RedHawk 
(2012) 
RedHawk 
(2012) 
Hierarchical 
RedHawk 
(2014) 
DMP 
100+M Instance Design
6/23/2014 © 2014 ANSYS, Inc. 12 
FinFET: Increased Noise Sensitivity 
Chip 
Team 
Package 
Team 
Existing Approach 
• Chip team needs to decipher and use package model 
• No immediate feedback on package design issues
6/23/2014 © 2014 ANSYS, Inc. 13 
FinFET: Increased Noise Sensitivity 
Chip 
Team 
Package 
Team 
RedHawk-CPA 
Simultaneous Package and Chip Voltage Drop Debug and Optimization
6/23/2014 © 2014 ANSYS, Inc. 14 
RedHawk-CPA: Package-Aware Chip Signoff 
Accuracy and Ease-of-Use 
• Fully distributed, chip analysis ready, per bump parasitic network 
• Automatic hook-up to chip layout maintaining pin-to-pin mapping 
• Simultaneous chip-package design analysis and optimization 
Distributed 
19.2mV 
Lumped 
13.8mV
6/23/2014 © 2014 ANSYS, Inc. 15 
RedHawk-CPA Performance 
Size Runtime/Memory # Terminals 
6 layers, 3 domains 
Per Bump Resolution 
10 min / ~15 GB 600 
Package Extraction 
RedHawk Simulation 
No Package Lumped Package RedHawk-CPA 
Simulation Time 53 min 51 min 58 min 
Memory Usage 6.8 GB 6.9 GB 7.76 GB
6/23/2014 © 2014 ANSYS, Inc. 16 
RedHawk-CPA Impact on DvD 
• Ideal Voltage = 0.998V 
• 3 simulation results 
– Green No Pkg maxima @ 0.99V 
– Blue Lump Pkg maxima @ 0.97V 
– Red Dist Pkg maxima @ 0.93V 
• Distribution of instance DvD shifts with 
CPA R-L-C-K package 
Instance Voltage 
Number of Instances 
Higher voltage drop
6/23/2014 © 2014 ANSYS, Inc. 17 
Foundry Certified for FinFET Processes 
Certified for TSMC 16N v1.0 and Intel Custom Foundry 14nm 
• Resistance correlation including Middle-end and Back-end layers 
• EM Rule handling 
• IR/DvD extraction and analysis 
Unique Metal Architecture 
• Special metal layers 
• Complex via structures and shapes 
• Diffusion as interconnect structures 
Enhanced Modeling 
• Dummy devices 
• Vertical resistance 
• Double patterning 
Complex EM, ESD 
• Current-direction, metal topology based 
• Width, temperature, self-heat, etc 
• Pseudo-via, RMS, etc.
6/23/2014 © 2014 ANSYS, Inc. 18 
RedHawk 2014 
Industry Standard Power Noise Reliability Sign-Off 
Connectivity Checks 
Static Analysis 
Power/SignalEM Vectorless 
Dynamic 
ESD Integrity In-rush Current 
Chip Power Model 
Impact on Timing 
Reliability Power Noise 
Gridcheck Vectorless Scan 
RTL/Gate VCD 
Applied Analysis 
Distributed Pkg 
(CPA) 
Maximum Signoff Coverage!
6/23/2014 © 2014 ANSYS, Inc. 19 
RedHawk 2014 
Member of Elite Group of Best-in-Class Solutions 
ANSYS Fluent™ 
• Aerodynamics 
• Engine Combustion 
• Thermal Management 
ANSYS Mechanical™ 
• Static Structural 
• Vibration and Stress 
• Component Design 
ANSYS HFSS™ 
• EMI/EMC Certification 
• Wireless Connectivity 
• Electric Motors, Battery 
ANSYS RedHawk™ 
• RTL2GDS Power Noise 
• Foundry Certified Reliability 
• C-P-S Power, Signal, Thermal
6/23/2014 © 2014 ANSYS, Inc. 20 
Related Presentations @ DAC2014 
• System Power Analysis with Correlation Results for Advanced Processor Designs 
• Silicon Correlation of RedHawk Dynamic Voltage Drop in High Power Density SoC 
• Chip-Package-System Based Power Integrity Analysis Flow for 14nm Mobile Designs 
• RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence 
• Achieving Power Noise Reliability Sign-off for FinFET based Designs

More Related Content

What's hot

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
System On Chip
System On ChipSystem On Chip
System On Chipanishgoel
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
DDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : PresentationDDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : PresentationSubhajit Sahu
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsilabishettybhanu
 
Setup and hold time violation in flip-flops
Setup and hold time violation in flip-flopsSetup and hold time violation in flip-flops
Setup and hold time violation in flip-flopsJong Hwan Shin
 

What's hot (20)

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
VLSI Power in a Nutshell
VLSI Power in a NutshellVLSI Power in a Nutshell
VLSI Power in a Nutshell
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Vlsi
VlsiVlsi
Vlsi
 
DDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : PresentationDDR, GDDR, HBM Memory : Presentation
DDR, GDDR, HBM Memory : Presentation
 
Physical design
Physical design Physical design
Physical design
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Test Bench Development
Test Bench DevelopmentTest Bench Development
Test Bench Development
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
floor planning
floor planningfloor planning
floor planning
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Setup and hold time violation in flip-flops
Setup and hold time violation in flip-flopsSetup and hold time violation in flip-flops
Setup and hold time violation in flip-flops
 

Similar to What's New in ANSYS RedHawk 2014

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAnsys
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsAnsys
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Ansys
 
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...Sven Åge Eriksen
 
BSmithResume2017
BSmithResume2017BSmithResume2017
BSmithResume2017Barry Smith
 
Andrew HP12-57W
Andrew HP12-57WAndrew HP12-57W
Andrew HP12-57Wsavomir
 
BSmithResume2016
BSmithResume2016BSmithResume2016
BSmithResume2016Barry Smith
 
Andrew HP12-59
Andrew HP12-59Andrew HP12-59
Andrew HP12-59savomir
 
Andrew HP12-59W
Andrew HP12-59WAndrew HP12-59W
Andrew HP12-59Wsavomir
 
Andrew HP12-82
Andrew HP12-82Andrew HP12-82
Andrew HP12-82savomir
 
STS Characterization to Production Test
STS Characterization to Production TestSTS Characterization to Production Test
STS Characterization to Production TestHank Lydick
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Arvind Kumar
 
Duotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWRDuotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWRLee Cloer
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdfraimonribal
 
Andrew HP12F-57W
Andrew HP12F-57WAndrew HP12F-57W
Andrew HP12F-57Wsavomir
 
Andrew HP10-57W
Andrew HP10-57WAndrew HP10-57W
Andrew HP10-57Wsavomir
 
Greg Gilmore Modeling Engineer
Greg Gilmore Modeling EngineerGreg Gilmore Modeling Engineer
Greg Gilmore Modeling EngineerGregory Gilmore
 
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...IT Arena
 
Andrew HP12-44
Andrew HP12-44Andrew HP12-44
Andrew HP12-44savomir
 

Similar to What's New in ANSYS RedHawk 2014 (20)

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
Datablad opa627 operasjonsforsterer sven åge eriksen fagskolen telemark opamp...
 
BSmithResume2017
BSmithResume2017BSmithResume2017
BSmithResume2017
 
Andrew HP12-57W
Andrew HP12-57WAndrew HP12-57W
Andrew HP12-57W
 
GPSANDOR_2016
GPSANDOR_2016GPSANDOR_2016
GPSANDOR_2016
 
BSmithResume2016
BSmithResume2016BSmithResume2016
BSmithResume2016
 
Andrew HP12-59
Andrew HP12-59Andrew HP12-59
Andrew HP12-59
 
Andrew HP12-59W
Andrew HP12-59WAndrew HP12-59W
Andrew HP12-59W
 
Andrew HP12-82
Andrew HP12-82Andrew HP12-82
Andrew HP12-82
 
STS Characterization to Production Test
STS Characterization to Production TestSTS Characterization to Production Test
STS Characterization to Production Test
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Duotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWRDuotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWR
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdf
 
Andrew HP12F-57W
Andrew HP12F-57WAndrew HP12F-57W
Andrew HP12F-57W
 
Andrew HP10-57W
Andrew HP10-57WAndrew HP10-57W
Andrew HP10-57W
 
Greg Gilmore Modeling Engineer
Greg Gilmore Modeling EngineerGreg Gilmore Modeling Engineer
Greg Gilmore Modeling Engineer
 
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...
Roman Nemish. Global IoT Technologies, Most Common Use Cases and Success Stra...
 
Andrew HP12-44
Andrew HP12-44Andrew HP12-44
Andrew HP12-44
 

More from Ansys

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services OverviewAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor SimulationAnsys
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementAnsys
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysAnsys
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesAnsys
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationAnsys
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance ComputingAnsys
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureAnsys
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate OverviewAnsys
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsAnsys
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectAnsys
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3Ansys
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAnsys
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Ansys
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAnsys
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareAnsys
 
Buckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionBuckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionAnsys
 

More from Ansys (20)

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case Study
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
 
Buckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic ProtectionBuckling Restrained Steel Shear Walls for Seismic Protection
Buckling Restrained Steel Shear Walls for Seismic Protection
 

Recently uploaded

Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network DevicesChandrakantDivate1
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsvanyagupta248
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationBhangaleSonal
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilVinayVitekari
 
PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiessarkmank1
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayEpec Engineered Technologies
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityMorshed Ahmed Rahath
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptDineshKumar4165
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxMuhammadAsimMuhammad6
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapRishantSharmaFr
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaOmar Fathy
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersMairaAshraf6
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxSCMS School of Architecture
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...Amil baba
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptxJIT KUMAR GUPTA
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 

Recently uploaded (20)

Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech Civil
 
PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and properties
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 

What's New in ANSYS RedHawk 2014

  • 1. 6/23/2014 © 2014 ANSYS, Inc. 1 What’s New in RedHawk™ 2014 Design Automation Conference 2014
  • 2. 6/23/2014 © 2014 ANSYS, Inc. 2 RedHawk 2014 Industry Standard Power Noise Reliability Sign-Off Industry Standard Input Data Foundry Certified Collaterals Package Layout RedHawk 2014 Totem™ IP Models Connectivity, Static IR Power, Signal EM Dynamic Voltage Drop Rush Current Substrate Noise ESD Integrity Chip Power Model Impact on Timing
  • 3. 6/23/2014 © 2014 ANSYS, Inc. 3 Best-in-Class Engines Industry Standard Power Noise Reliability Sign-Off VectorLess™ Statistical, RTL2GDS, Mixed-mode Sign-off Coverage Integrated Extraction, Solver Silicon Validated Accuracy On-die RLC, Package/PCB RLCK APL, Pico-second Resolution Scalable Architecture Native Stacked Die (3D, 2.5D), Distributed Full-chip Capacity
  • 4. 6/23/2014 © 2014 ANSYS, Inc. 4 Source: ARM FinFET study, 2013 FinFET Advantages: • Improved performance • Reduced power • Higher device density Technology Trends: FinFET Adoption
  • 5. 6/23/2014 © 2014 ANSYS, Inc. 5 FinFET Based Design Challenges Reduced Noise Margins Requirements for FinFET Based Design Power Noise Sign-off • Capacity Ultra-large Design Modeling • Reliability EM and ESD Accuracy • Chip-Package-System Comprehensive and Accurate Noise Prediction Reduced EM / ESD Tolerance Increased Temp. Effect
  • 6. 6/23/2014 © 2014 ANSYS, Inc. 6 FinFET Design Challenges: Power Noise Higher Voltage Drop IR + L di / dt More Switching Current (Higher Density) Higher Peak Currents (25% more) More Complex and Higher Grid Impedance Reduced Supply Voltages (<800mV) • 100mV on 1V (10%) vs 150mV on 700mV (21%) • Significantly lower tolerance for error On-Chip Power Grid Circuits Package / PCB Foundry Certification Switching Scenario Detailed Model Exploding Capacity, Complexity and Accuracy Needs
  • 7. 6/23/2014 © 2014 ANSYS, Inc. 7 FinFET Design Challenges: EM Reliability Degraded EM Limits Heightened EM Violations (30% Less) Increased Peak Current (25% more) Increased Self Heating Power EM Post Thermal EM Higher FinFET Temp • Via and Wire EM limits routing / driver sizing • Thermal impact on EM: – 25ºC increase on FinFET degrades expected lifetime by 3x to 5x on device and metal layers
  • 8. 6/23/2014 © 2014 ANSYS, Inc. 8 FinFET Design Challenges: ESD Reliability Higher ESD Sensitivity • Careful layout based ESD design planning • ESD integrity as part of sign-off Higher Device Sizes Lack of Snapback Device Support Degraded Diode Protection Reduced Interconnect Reliability
  • 9. 6/23/2014 © 2014 ANSYS, Inc. 9 FinFETs: Expanding Capacity Challenges Discrete Single core Dual core Quad-core Multi-core CPU + GPU, DDR5, … ~1.5B+ nodes ~ 300M gates ~3B+ nodes ~500M nodes ~ 120M gates ~100M nodes ~50M nodes ~ 50M gates ~ 12M gates Multi-CPU Distributed Hierarchical Smart Caching
  • 10. 6/23/2014 © 2014 ANSYS, Inc. 10 DMP: Distributed Machine Processing Capacity and Performance Analysis Result Exploration Distributed Simulation • Distributed full-chip simulation with package and PCB impact • Design split and simulated over the network with each partition full-chip aware • Full flat accuracy with 2-3X performance gain Chip + Package + PCB
  • 11. 6/23/2014 © 2014 ANSYS, Inc. 11 DMP Performance Benchmark 3X Performance Improvement from Prior Generation RedHawk (2009) RedHawk (2012) RedHawk (2012) Hierarchical RedHawk (2014) DMP 100+M Instance Design
  • 12. 6/23/2014 © 2014 ANSYS, Inc. 12 FinFET: Increased Noise Sensitivity Chip Team Package Team Existing Approach • Chip team needs to decipher and use package model • No immediate feedback on package design issues
  • 13. 6/23/2014 © 2014 ANSYS, Inc. 13 FinFET: Increased Noise Sensitivity Chip Team Package Team RedHawk-CPA Simultaneous Package and Chip Voltage Drop Debug and Optimization
  • 14. 6/23/2014 © 2014 ANSYS, Inc. 14 RedHawk-CPA: Package-Aware Chip Signoff Accuracy and Ease-of-Use • Fully distributed, chip analysis ready, per bump parasitic network • Automatic hook-up to chip layout maintaining pin-to-pin mapping • Simultaneous chip-package design analysis and optimization Distributed 19.2mV Lumped 13.8mV
  • 15. 6/23/2014 © 2014 ANSYS, Inc. 15 RedHawk-CPA Performance Size Runtime/Memory # Terminals 6 layers, 3 domains Per Bump Resolution 10 min / ~15 GB 600 Package Extraction RedHawk Simulation No Package Lumped Package RedHawk-CPA Simulation Time 53 min 51 min 58 min Memory Usage 6.8 GB 6.9 GB 7.76 GB
  • 16. 6/23/2014 © 2014 ANSYS, Inc. 16 RedHawk-CPA Impact on DvD • Ideal Voltage = 0.998V • 3 simulation results – Green No Pkg maxima @ 0.99V – Blue Lump Pkg maxima @ 0.97V – Red Dist Pkg maxima @ 0.93V • Distribution of instance DvD shifts with CPA R-L-C-K package Instance Voltage Number of Instances Higher voltage drop
  • 17. 6/23/2014 © 2014 ANSYS, Inc. 17 Foundry Certified for FinFET Processes Certified for TSMC 16N v1.0 and Intel Custom Foundry 14nm • Resistance correlation including Middle-end and Back-end layers • EM Rule handling • IR/DvD extraction and analysis Unique Metal Architecture • Special metal layers • Complex via structures and shapes • Diffusion as interconnect structures Enhanced Modeling • Dummy devices • Vertical resistance • Double patterning Complex EM, ESD • Current-direction, metal topology based • Width, temperature, self-heat, etc • Pseudo-via, RMS, etc.
  • 18. 6/23/2014 © 2014 ANSYS, Inc. 18 RedHawk 2014 Industry Standard Power Noise Reliability Sign-Off Connectivity Checks Static Analysis Power/SignalEM Vectorless Dynamic ESD Integrity In-rush Current Chip Power Model Impact on Timing Reliability Power Noise Gridcheck Vectorless Scan RTL/Gate VCD Applied Analysis Distributed Pkg (CPA) Maximum Signoff Coverage!
  • 19. 6/23/2014 © 2014 ANSYS, Inc. 19 RedHawk 2014 Member of Elite Group of Best-in-Class Solutions ANSYS Fluent™ • Aerodynamics • Engine Combustion • Thermal Management ANSYS Mechanical™ • Static Structural • Vibration and Stress • Component Design ANSYS HFSS™ • EMI/EMC Certification • Wireless Connectivity • Electric Motors, Battery ANSYS RedHawk™ • RTL2GDS Power Noise • Foundry Certified Reliability • C-P-S Power, Signal, Thermal
  • 20. 6/23/2014 © 2014 ANSYS, Inc. 20 Related Presentations @ DAC2014 • System Power Analysis with Correlation Results for Advanced Processor Designs • Silicon Correlation of RedHawk Dynamic Voltage Drop in High Power Density SoC • Chip-Package-System Based Power Integrity Analysis Flow for 14nm Mobile Designs • RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence • Achieving Power Noise Reliability Sign-off for FinFET based Designs