SlideShare a Scribd company logo
1 of 8
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
DOI : 10.5121/vlsic.2013.4207 75
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
Bhavana Prakash Shrivastava1
and Kavita Khare2
1
Department of Electronics and Communication Engineering, Maulana Azad National
Institute of Technology, Bhopal, India
sonibhavana1@gmail.com
2
Department of Electronics and Communication Engineering, Maulana Azad National
Institute Of Technology, Bhopal, India
kavita_khare1@yahoo.co.in
ABSTRACT
This paper gives the innovative idea of designing a router using multicrossbar switch in Network on
Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total
power. Eliminating all input buffer would result in increased power consumption at high load, while
reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar
router design using elastic buffer by combining the advantage of both buffered and buffer less network. In
the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router.
KEYWORDS
Network on chip, Virtual Channel, Virtual Allocator, Elastic Buffer, Power Delay Product (PDP).
1. INTRODUCTION
As the technology increases in order to improve the performance of future multi-cores the
researcher reduce the wire delay[1] .Insertion of buffer reduce wire delay as a result power
consumption increases. In order to solve these wire delay and scalability issues, researchers
suggested the use of a packet based communication network which is known as Network-on-Chip
(NoC)[2,3]. One of the major research challenges currently faced by NoC designers is that of
power dissipation, Power is dissipated by the NoCs in communicating data across the links as
well as in the storage and switching functions within the routers [4,5]. Researchers have shown
that almost 46% of the router power was consumed by the input buffers. Here elastic buffer (EB)
flow control utilizes existing pipeline flip-flops in the channels to implement distributed FIFOs,
eliminating the need for input buffers at the routers[6]. In the proposed design the in place of
single crossbar ,muticrossbar switch is used. The multi-crossbar configuration provides the lower
area due to split crossbars, reduces delay due to shorter path lengths and higher throughput due to
selective merging of different output ports.Here performance is evaluated on the basis of power
and delay product.
2. RELATED WORK
Different techniques have been proposed to reduce or eliminate the size of input buffers. Initially
iDEAL, a low-power area-efficient NoC ia achive by reducing the number of buffers within the
router[9]. Other designs targeting power saving with router design have different approaches. A
dynamic buffering resources allocation design named ViChaR (Virtual Channel Regulator)
focuses on efficiently allocating buffers to all virtual channels, by deploying a unified buffering
unit instead of a series of separated buffers, and minimizing the required size[10]. Another
approach utilizing channel buffering is the Elastic Channel Buffers (ECB), which replaces the
repeaters with flip-flops, and eliminates the router buffers altogether [6]. Other bufferless
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
76
networks such as FlitBLESS [7] and SCARAB [8] adopt either deflecting or dropping conflicting
packets, thereby reducing the latency and power, while sustaining throughput at low network
loads while at higher network loads, these networks suffer deflection/dropping leading to an
increase in power consumption. The crossbar within the NoC has also received a lot of attention
due to area overhead and power consumption.Reasearcher have proposed crossbar optimizations
that reduced the power consumption and area overhead and channel buffer organization. In the
proposed design elastic buffer multicrossbar router is implemented in which elastic buffer is used
for storage , single channel ,and muticrossbar configuration is defined.
3. ARCHITECTURE OF BASELINE ROUTER
A router in NoCs consists of buffers, switches, and control units which are required to store and
forward flits from the input ports to the desired output ports. The architecture is actually similar to
that of modern routers, but with smaller area and buffer size .Figure :1 shows a NoC 16 buffer
slots per input port. The buffer slots are divided into four queues, and each queue is called a
virtual channel (VC) [11,14]. There are four cardinal input ports and output ports connected from
and to +x, -x,+y and- y directions. The last pair of input/output ports are connected from and to
the processing element (PE). The four VCs are sandwiched between the de-multiplexer connected
to the input port, and the multiplexer connected to the crossbar. Each input unit can communicate
with router, virtual-channel allocator, and switch allocator[13], which are responsible for Routing
Computation (RC), Virtual-Channel Allocation (VA), and Switch Allocation (SA), respectively.
The crossbar is controlled by the switch allocator for correctly connecting input ports to output
ports [12].
Figure: 1 Base Line Router
Figure: 1 Base Line Router
4. PROPOSED ELASTIC BUFFER MULTICROSSBAR DESIGN
In the proposed multicrossbar router shown in Figure: 2 single cross bar is split into four smaller
crossbars to reduce area and delay. The division of the 4 crossbars are along the 4 quadrants: (+x,
+y) [North-East], (-x, -y) [South-West], (-x, +y) [North-West] and (+x, -y) [South-East].The
packet arrives from +x direction into I0, indicating that the quadrant is (x+, y+). This packet can
be routed to either O0 (+x direction) or O2 (+y direction) using the North-East crossbar. Similarly,
if the packet arrives from +x direction from I0 direction into the South-East crossbar, then the
possible outgoing directions will be O0 and O3, indicating that the destination quadrant is (x+, y-).
Routing Computation
(RC)
Virtual channel
Allocator
Switch Allocator
VC 0
VC 1
VC2
VC3
D
e
m
u
x
M
u
x
+X
-X
+Y
-Y
+X
-X
-Y
-Y
Crossbar
Processing Element
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
77
Therefore, by limiting the crossbar connections and combining select crossbar outputs, we
adaptively provide more opportunities for the output ports to be occupied than a conventional
crossbar. The multi-crossbar configuration provides lower area due to split crossbars, reduce
delay due to shorter path lengths and higher throughput due to selective merging of different
output ports.
Figure: 2 Proposed Elastic Buffer Router Using Multicrossbar
The function of processing element is to give feedback from output to input to show whether the
flit is valid or not. Buffers are in which the data moves serially as the virtual channel is eliminated
so the virtual allocator stage is eliminated. Switch arbiter (SA) is modified to make control over
the Demux and Mux to maintain the correct packet flow in the crossbars.
4.1. Elastic buffer
Elastic buffers (EBs) is an efficient flow-control scheme that uses the storage already present in
pipelined channels instead of input virtual-channel buffers(VCBs). Removing VCBs reduces the
area and power consumed by routers, but prevents the use of virtual-channel.
4.1.1 Elastic Buffer Channel
Here elastic buffer channel is discussed. EB channel is implemented by using Dflip-flop (DFF)
and control logic. Dflip-flop (DFF) shown in Figure: 3(a) is implemented using master slave flip
flop. EBs shown in Figure: 3(b) use a ready-valid handshake to advance a flit (flow-control
digit).An upstream ready (R) signal indicates that the downstream EB has at least one empty
storage location and can store an additional flit. A downstream valid (V) signal indicates that the
flit currently being driven is valid. A flit advances when both the ready and valid signals between
two EBs are asserted at the rising clock edge.
Routing Computation
(RC)
Switch arbiter
(SA)
Look ahead
signal
Elastic
Buffer
Elastic
Buffer
Elastic
Buffer
Elastic
Buffer
Processing Element
+X
-X
+Y
-Y
+X
-X
+Y
-Y
+X, +Y
-X,-Y
-X, +Y
+X,-Y
I0
I2
I1
I3
I1
′
I2
′
I0
′
I3
′
O0
O1
O2
O3
O4
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
78
0 2
1 new
1 old
A
A
E2= 0
B
B
C
V_in
R_in
D
R_in =1
V_out=0
E2=0
E1=1
R_in =1
V_out=1
E2=1
E1=1
R_in =0
V_out=1
E2=0
E1=0
V_in V_out
R_outR_in
E1 E2
CLKCLK
ENSENM
Figure: 3(a) DFF (D-flip flop) using master slave FF
Figure: 3(b) Elastic buffer
Figure: 3(c) FSM of EB Control Logic
Figure: 3(c) shows the expanded view of the EB control logic as a FSM for two-slot EBs.Elastic
buffer works on the phenomenon of handshaking. EB channels feature provides multiple EBs to
form a distributed FIFO.
4.1. Comparative Analysis
The comparison between the two routers is compared on the basis of parameters. The
comparative table shown below in Table: 1
D Q
Master Latch
EN
D Q
Master Latch
EN
Clock
Data
D Q
Master Latch
EN
D Q
Master Latch
EN
Data
EB Control Logic
ENM ENS
R_OUT
V_OUT
R_IN
V_IN
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
79
Table: 1 Comparison table between the baseline router and proposed router.
Parameters Baseline Router Proposed
multicrossbar Router
Buffered Buffered Both buffered and
buffer less
Number of
crossbar used
One Four
Type of Buffer Virtual Channel Elastic buffer
Handshaking No Yes
Probability of
data lost
High Negligible
Speed Slow Fast
5. SIMULATION AND RESULTS
Implementation is done in cadence virtuoso at 180nm.All the parameters are set at the time of
simulation .The delay and average power is calculation is done. Delay of proposed router as
compare to base line router is reduced to 51.37% % at the cost minimal increase in power as
shown in Table 2 .This minimal increase in power is due to multicrossbar switches. The overall
performance gets increases due to the reduction of PDP by 37. 91%.
Table 2 shows the comparison based on the delay and total average power and PDP.
Router Design Delay(nsec) Total average
power(µW)
Power delay
product(Femto watt sec)
Baseline Router 4.117 194.6 801.16
Proposed elastic
buffer
multicrossbar router
2.002 290.2 580.98
Figure: 4 Implemented Circuit of Proposed Router in Cadence
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
80
Figure: 5 Simulation of Proposed Router
Figure: 6 Total power calculation of Proposed Router
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
81
Figure: 7 Delay calculation of Proposed Router
6. CONCLUSION
In this paper we evaluate the performance of crossbar organization using multi cross bar router
design using elastic buffer with an objective of reducing delay. The proposed design shows the
power delay product reduction by 37.91% and delay reduction of 51.37% compare to baseline
router design result in increase in performance. With the proposed design we conclude that the
advantage of both buffered and buffer less is achieved. At low traffic flit traverse from the first
multicrossbar and at high traffic flit traverse using elastic buffer via secondary multi-crossbar .In
multi-crossbar configuration due to split of crossbars ,delay is reduced. Higher throughput is
achieved due to selective merging of different output ports. Elastic buffer is enough to store a
number of flit on each port with accuracy as it works on handshaking principle. It is concluded
from the above discussion that proposed router design using multicrossbar provide better
performance as compared to baseline router design.
REFERENCES
[1] R. Ho, K. W. Mai, and M. A. Horowitz (2001) “The future of wires,” Proceedings of the IEEE, vol.
89, pp. 490–504.
[2] L. Benini and G. D. Micheli (2002) “Networks on chips: A new so paradigm,” IEEE Computer, vol.
35, pp. 70–78.
[3] W. J. Dally and B. Towles(2001) “Route packets, not wires,” in Proceedings of the Design
Automation Conference (DAC), Las Vegas, NV, USA,June 18-22.
[4] J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh(2007) “Research
challenges for on-chip interconnection networks,”IEEE Micro, vol. 27, no. 5, pp. 96–108.
[5] S. Heo and K. Asanovic(2005) “Replacing global wires with an on-chip network: A power analysis,”
in Proceedings of the International Symposium on Low Power Electronics and Design
(ISLPED), San Diego, CA, USA, pp. 369–374.
[6] George Michelogiannakis, James Balfour and William J. Dally(2008) “Elastic-Buffer Flow Control
for On-Chip Networks,”IEEE micro pp.151-162
International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013
82
[7] T. Moscibroda and O. Mutlu(2007) “A case for bufferless routing in on-chip networks,” in
Proceedings of the 36th annual International Symposium on Computer Architecture
[8] M. Hayenga, N. E. Jerger, and M. Lipasti(2009) “Scarab: A single cycle adaptive routing and
bufferless network,” in Proceedings of the 42nd AnnualIEEE/ACM International Symposium
on Microarchitecture.
[9] A. K. Kodi, A. Sarathy, and A. Louri(2008) “ideal: Inter-router dual-function energy- and area-
efficient links for network-on-chip (noc),” in Proceedings of the 35th International Symposium on
Computer Architecture Beijing, China, pp. 241–250.
[10] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das(2006)“ViChaR: A
dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the
39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, pp. 333–346.
[11] P. Guerrier and A. Greiner(2000)“A generic architecture for on-chip packet-switched
interconnections,” in DATE ’00: Proceedings of the Conference on Design, Automation and Test in
Europe, pp. 250–256.
[12] S. Borkar(1999) “Design challenges of technology scaling,” IEEE Micro, vol. 19, pp. 23–29.
[13] D. U. Becker and W. J. Dally, “Allocator implementations for networkon-chip routers,” in SC ’09:
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis,
2009, pp.1–12.
[14] R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,”
in ISCA ’04: Proceedings of the 31st Annual International Symposium on Computer
Architecture,Jun. 2004, pp. 188–197.
Authors Biography
Bhavana Prakash Shrivatava received her degree in Electronics and
Communication Engineering in 2003, M.Tech. degree in Digital
Communication Systems in2007.Now she is persuing her Ph.D degree in VLSI
design under the guidance of Dr.Kavita Khare. She is working as Assistant
Professor in Electronics and Communication Engineering in MANIT, Bhopal.
Her fields of interest are VLSI design and Communication Systems and
networking.She is fellow of IEEE (India).
Kavita Khare received her B.Tech degree in Electronics and Communication
Engineering in 1989, M.Tech. degree in Digital Communication Systems in
1993 and Ph.D. degree in the field of VLSI Design in 2005. She has nearly
100 publications in various international conferences and journals. Currently,
she is working as Professor of Electronics and Communication Engineering in
MANIT, Bhopal. Her fields of interest are VLSI design and Communication
Systems. Her research mainly includes design of arithmetic circuits and
various communication algorithms related to synchronization, estimation and
routing. Dr. Khare is a Fellow IETE (India) and Life Member ISTE.

More Related Content

What's hot

Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...VLSICS Design
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...VLSICS Design
 
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...journalBEEI
 
15082005174118 wca article-vfinal
15082005174118 wca article-vfinal15082005174118 wca article-vfinal
15082005174118 wca article-vfinalsunilareddyk
 
CCNA Network Services Questions
CCNA Network Services QuestionsCCNA Network Services Questions
CCNA Network Services QuestionsDsunte Wilson
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...VLSICS Design
 
Tri-State Buffer with Common Data Bus
Tri-State Buffer with Common Data BusTri-State Buffer with Common Data Bus
Tri-State Buffer with Common Data BusIJERA Editor
 
CCNA TCP/IP Questions
CCNA TCP/IP QuestionsCCNA TCP/IP Questions
CCNA TCP/IP QuestionsDsunte Wilson
 
Hybrid protocol for wireless EH network over weibull fading channel: performa...
Hybrid protocol for wireless EH network over weibull fading channel: performa...Hybrid protocol for wireless EH network over weibull fading channel: performa...
Hybrid protocol for wireless EH network over weibull fading channel: performa...IJECEIAES
 
Iaetsd multioperand redundant adders on fpg as
Iaetsd multioperand redundant adders on fpg asIaetsd multioperand redundant adders on fpg as
Iaetsd multioperand redundant adders on fpg asIaetsd Iaetsd
 
Relay Vehicle Formations for Optimizing Communication Quality in Robot Networks
Relay Vehicle Formations for Optimizing Communication Quality in Robot NetworksRelay Vehicle Formations for Optimizing Communication Quality in Robot Networks
Relay Vehicle Formations for Optimizing Communication Quality in Robot NetworksMd Mahbubur Rahman
 

What's hot (16)

Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Networks notes
Networks notesNetworks notes
Networks notes
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
 
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...
Design and optimization of multi user OFDM orthogonal chaotic vector shift ke...
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
15082005174118 wca article-vfinal
15082005174118 wca article-vfinal15082005174118 wca article-vfinal
15082005174118 wca article-vfinal
 
Ch17
Ch17Ch17
Ch17
 
CCNA Network Services Questions
CCNA Network Services QuestionsCCNA Network Services Questions
CCNA Network Services Questions
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
 
Tri-State Buffer with Common Data Bus
Tri-State Buffer with Common Data BusTri-State Buffer with Common Data Bus
Tri-State Buffer with Common Data Bus
 
CCNA TCP/IP Questions
CCNA TCP/IP QuestionsCCNA TCP/IP Questions
CCNA TCP/IP Questions
 
Hybrid protocol for wireless EH network over weibull fading channel: performa...
Hybrid protocol for wireless EH network over weibull fading channel: performa...Hybrid protocol for wireless EH network over weibull fading channel: performa...
Hybrid protocol for wireless EH network over weibull fading channel: performa...
 
Iaetsd multioperand redundant adders on fpg as
Iaetsd multioperand redundant adders on fpg asIaetsd multioperand redundant adders on fpg as
Iaetsd multioperand redundant adders on fpg as
 
Relay Vehicle Formations for Optimizing Communication Quality in Robot Networks
Relay Vehicle Formations for Optimizing Communication Quality in Robot NetworksRelay Vehicle Formations for Optimizing Communication Quality in Robot Networks
Relay Vehicle Formations for Optimizing Communication Quality in Robot Networks
 
Ch19
Ch19Ch19
Ch19
 

Similar to SMART MULTICROSSBAR ROUTER DESIGN IN NOC

Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chipDhiraj Chaudhary
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chipDhiraj Chaudhary
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipVLSICS Design
 
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPVLSICS Design
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...VLSICS Design
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderIRJET Journal
 
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPMODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPVLSICS Design
 
1.area efficient carry select adder
1.area efficient carry select adder1.area efficient carry select adder
1.area efficient carry select adderKUMARASWAMY JINNE
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
 
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderA Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderIJERD Editor
 
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...VLSICS Design
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET Journal
 
Elastistore flexible elastic buffering for virtual-channel-based networks on...
Elastistore  flexible elastic buffering for virtual-channel-based networks on...Elastistore  flexible elastic buffering for virtual-channel-based networks on...
Elastistore flexible elastic buffering for virtual-channel-based networks on...I3E Technologies
 
Performance Improved Network on Chip Router for Low Power Applications
Performance Improved Network on Chip Router for Low Power ApplicationsPerformance Improved Network on Chip Router for Low Power Applications
Performance Improved Network on Chip Router for Low Power ApplicationsIJTET Journal
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationeSAT Publishing House
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adderijsrd.com
 
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET Journal
 
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPVLSICS Design
 

Similar to SMART MULTICROSSBAR ROUTER DESIGN IN NOC (20)

Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chip
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chip
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
 
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPMODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
 
1.area efficient carry select adder
1.area efficient carry select adder1.area efficient carry select adder
1.area efficient carry select adder
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
 
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderA Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
 
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
Optimized Design of 2D Mesh NOC Router using Custom SRAM & Common Buffer Util...
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
 
Elastistore flexible elastic buffering for virtual-channel-based networks on...
Elastistore  flexible elastic buffering for virtual-channel-based networks on...Elastistore  flexible elastic buffering for virtual-channel-based networks on...
Elastistore flexible elastic buffering for virtual-channel-based networks on...
 
Performance Improved Network on Chip Router for Low Power Applications
Performance Improved Network on Chip Router for Low Power ApplicationsPerformance Improved Network on Chip Router for Low Power Applications
Performance Improved Network on Chip Router for Low Power Applications
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adder
 
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
 
M367578
M367578M367578
M367578
 
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
 

Recently uploaded

Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxhumanexperienceaaa
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEslot gacor bisa pakai pulsa
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 

Recently uploaded (20)

Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptxthe ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
the ladakh protest in leh ladakh 2024 sonam wangchuk.pptx
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 

SMART MULTICROSSBAR ROUTER DESIGN IN NOC

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 DOI : 10.5121/vlsic.2013.4207 75 SMART MULTICROSSBAR ROUTER DESIGN IN NOC Bhavana Prakash Shrivastava1 and Kavita Khare2 1 Department of Electronics and Communication Engineering, Maulana Azad National Institute of Technology, Bhopal, India sonibhavana1@gmail.com 2 Department of Electronics and Communication Engineering, Maulana Azad National Institute Of Technology, Bhopal, India kavita_khare1@yahoo.co.in ABSTRACT This paper gives the innovative idea of designing a router using multicrossbar switch in Network on Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total power. Eliminating all input buffer would result in increased power consumption at high load, while reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar router design using elastic buffer by combining the advantage of both buffered and buffer less network. In the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router. KEYWORDS Network on chip, Virtual Channel, Virtual Allocator, Elastic Buffer, Power Delay Product (PDP). 1. INTRODUCTION As the technology increases in order to improve the performance of future multi-cores the researcher reduce the wire delay[1] .Insertion of buffer reduce wire delay as a result power consumption increases. In order to solve these wire delay and scalability issues, researchers suggested the use of a packet based communication network which is known as Network-on-Chip (NoC)[2,3]. One of the major research challenges currently faced by NoC designers is that of power dissipation, Power is dissipated by the NoCs in communicating data across the links as well as in the storage and switching functions within the routers [4,5]. Researchers have shown that almost 46% of the router power was consumed by the input buffers. Here elastic buffer (EB) flow control utilizes existing pipeline flip-flops in the channels to implement distributed FIFOs, eliminating the need for input buffers at the routers[6]. In the proposed design the in place of single crossbar ,muticrossbar switch is used. The multi-crossbar configuration provides the lower area due to split crossbars, reduces delay due to shorter path lengths and higher throughput due to selective merging of different output ports.Here performance is evaluated on the basis of power and delay product. 2. RELATED WORK Different techniques have been proposed to reduce or eliminate the size of input buffers. Initially iDEAL, a low-power area-efficient NoC ia achive by reducing the number of buffers within the router[9]. Other designs targeting power saving with router design have different approaches. A dynamic buffering resources allocation design named ViChaR (Virtual Channel Regulator) focuses on efficiently allocating buffers to all virtual channels, by deploying a unified buffering unit instead of a series of separated buffers, and minimizing the required size[10]. Another approach utilizing channel buffering is the Elastic Channel Buffers (ECB), which replaces the repeaters with flip-flops, and eliminates the router buffers altogether [6]. Other bufferless
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 76 networks such as FlitBLESS [7] and SCARAB [8] adopt either deflecting or dropping conflicting packets, thereby reducing the latency and power, while sustaining throughput at low network loads while at higher network loads, these networks suffer deflection/dropping leading to an increase in power consumption. The crossbar within the NoC has also received a lot of attention due to area overhead and power consumption.Reasearcher have proposed crossbar optimizations that reduced the power consumption and area overhead and channel buffer organization. In the proposed design elastic buffer multicrossbar router is implemented in which elastic buffer is used for storage , single channel ,and muticrossbar configuration is defined. 3. ARCHITECTURE OF BASELINE ROUTER A router in NoCs consists of buffers, switches, and control units which are required to store and forward flits from the input ports to the desired output ports. The architecture is actually similar to that of modern routers, but with smaller area and buffer size .Figure :1 shows a NoC 16 buffer slots per input port. The buffer slots are divided into four queues, and each queue is called a virtual channel (VC) [11,14]. There are four cardinal input ports and output ports connected from and to +x, -x,+y and- y directions. The last pair of input/output ports are connected from and to the processing element (PE). The four VCs are sandwiched between the de-multiplexer connected to the input port, and the multiplexer connected to the crossbar. Each input unit can communicate with router, virtual-channel allocator, and switch allocator[13], which are responsible for Routing Computation (RC), Virtual-Channel Allocation (VA), and Switch Allocation (SA), respectively. The crossbar is controlled by the switch allocator for correctly connecting input ports to output ports [12]. Figure: 1 Base Line Router Figure: 1 Base Line Router 4. PROPOSED ELASTIC BUFFER MULTICROSSBAR DESIGN In the proposed multicrossbar router shown in Figure: 2 single cross bar is split into four smaller crossbars to reduce area and delay. The division of the 4 crossbars are along the 4 quadrants: (+x, +y) [North-East], (-x, -y) [South-West], (-x, +y) [North-West] and (+x, -y) [South-East].The packet arrives from +x direction into I0, indicating that the quadrant is (x+, y+). This packet can be routed to either O0 (+x direction) or O2 (+y direction) using the North-East crossbar. Similarly, if the packet arrives from +x direction from I0 direction into the South-East crossbar, then the possible outgoing directions will be O0 and O3, indicating that the destination quadrant is (x+, y-). Routing Computation (RC) Virtual channel Allocator Switch Allocator VC 0 VC 1 VC2 VC3 D e m u x M u x +X -X +Y -Y +X -X -Y -Y Crossbar Processing Element
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 77 Therefore, by limiting the crossbar connections and combining select crossbar outputs, we adaptively provide more opportunities for the output ports to be occupied than a conventional crossbar. The multi-crossbar configuration provides lower area due to split crossbars, reduce delay due to shorter path lengths and higher throughput due to selective merging of different output ports. Figure: 2 Proposed Elastic Buffer Router Using Multicrossbar The function of processing element is to give feedback from output to input to show whether the flit is valid or not. Buffers are in which the data moves serially as the virtual channel is eliminated so the virtual allocator stage is eliminated. Switch arbiter (SA) is modified to make control over the Demux and Mux to maintain the correct packet flow in the crossbars. 4.1. Elastic buffer Elastic buffers (EBs) is an efficient flow-control scheme that uses the storage already present in pipelined channels instead of input virtual-channel buffers(VCBs). Removing VCBs reduces the area and power consumed by routers, but prevents the use of virtual-channel. 4.1.1 Elastic Buffer Channel Here elastic buffer channel is discussed. EB channel is implemented by using Dflip-flop (DFF) and control logic. Dflip-flop (DFF) shown in Figure: 3(a) is implemented using master slave flip flop. EBs shown in Figure: 3(b) use a ready-valid handshake to advance a flit (flow-control digit).An upstream ready (R) signal indicates that the downstream EB has at least one empty storage location and can store an additional flit. A downstream valid (V) signal indicates that the flit currently being driven is valid. A flit advances when both the ready and valid signals between two EBs are asserted at the rising clock edge. Routing Computation (RC) Switch arbiter (SA) Look ahead signal Elastic Buffer Elastic Buffer Elastic Buffer Elastic Buffer Processing Element +X -X +Y -Y +X -X +Y -Y +X, +Y -X,-Y -X, +Y +X,-Y I0 I2 I1 I3 I1 ′ I2 ′ I0 ′ I3 ′ O0 O1 O2 O3 O4
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 78 0 2 1 new 1 old A A E2= 0 B B C V_in R_in D R_in =1 V_out=0 E2=0 E1=1 R_in =1 V_out=1 E2=1 E1=1 R_in =0 V_out=1 E2=0 E1=0 V_in V_out R_outR_in E1 E2 CLKCLK ENSENM Figure: 3(a) DFF (D-flip flop) using master slave FF Figure: 3(b) Elastic buffer Figure: 3(c) FSM of EB Control Logic Figure: 3(c) shows the expanded view of the EB control logic as a FSM for two-slot EBs.Elastic buffer works on the phenomenon of handshaking. EB channels feature provides multiple EBs to form a distributed FIFO. 4.1. Comparative Analysis The comparison between the two routers is compared on the basis of parameters. The comparative table shown below in Table: 1 D Q Master Latch EN D Q Master Latch EN Clock Data D Q Master Latch EN D Q Master Latch EN Data EB Control Logic ENM ENS R_OUT V_OUT R_IN V_IN
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 79 Table: 1 Comparison table between the baseline router and proposed router. Parameters Baseline Router Proposed multicrossbar Router Buffered Buffered Both buffered and buffer less Number of crossbar used One Four Type of Buffer Virtual Channel Elastic buffer Handshaking No Yes Probability of data lost High Negligible Speed Slow Fast 5. SIMULATION AND RESULTS Implementation is done in cadence virtuoso at 180nm.All the parameters are set at the time of simulation .The delay and average power is calculation is done. Delay of proposed router as compare to base line router is reduced to 51.37% % at the cost minimal increase in power as shown in Table 2 .This minimal increase in power is due to multicrossbar switches. The overall performance gets increases due to the reduction of PDP by 37. 91%. Table 2 shows the comparison based on the delay and total average power and PDP. Router Design Delay(nsec) Total average power(µW) Power delay product(Femto watt sec) Baseline Router 4.117 194.6 801.16 Proposed elastic buffer multicrossbar router 2.002 290.2 580.98 Figure: 4 Implemented Circuit of Proposed Router in Cadence
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 80 Figure: 5 Simulation of Proposed Router Figure: 6 Total power calculation of Proposed Router
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 81 Figure: 7 Delay calculation of Proposed Router 6. CONCLUSION In this paper we evaluate the performance of crossbar organization using multi cross bar router design using elastic buffer with an objective of reducing delay. The proposed design shows the power delay product reduction by 37.91% and delay reduction of 51.37% compare to baseline router design result in increase in performance. With the proposed design we conclude that the advantage of both buffered and buffer less is achieved. At low traffic flit traverse from the first multicrossbar and at high traffic flit traverse using elastic buffer via secondary multi-crossbar .In multi-crossbar configuration due to split of crossbars ,delay is reduced. Higher throughput is achieved due to selective merging of different output ports. Elastic buffer is enough to store a number of flit on each port with accuracy as it works on handshaking principle. It is concluded from the above discussion that proposed router design using multicrossbar provide better performance as compared to baseline router design. REFERENCES [1] R. Ho, K. W. Mai, and M. A. Horowitz (2001) “The future of wires,” Proceedings of the IEEE, vol. 89, pp. 490–504. [2] L. Benini and G. D. Micheli (2002) “Networks on chips: A new so paradigm,” IEEE Computer, vol. 35, pp. 70–78. [3] W. J. Dally and B. Towles(2001) “Route packets, not wires,” in Proceedings of the Design Automation Conference (DAC), Las Vegas, NV, USA,June 18-22. [4] J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh(2007) “Research challenges for on-chip interconnection networks,”IEEE Micro, vol. 27, no. 5, pp. 96–108. [5] S. Heo and K. Asanovic(2005) “Replacing global wires with an on-chip network: A power analysis,” in Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, USA, pp. 369–374. [6] George Michelogiannakis, James Balfour and William J. Dally(2008) “Elastic-Buffer Flow Control for On-Chip Networks,”IEEE micro pp.151-162
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.4, No.2, April 2013 82 [7] T. Moscibroda and O. Mutlu(2007) “A case for bufferless routing in on-chip networks,” in Proceedings of the 36th annual International Symposium on Computer Architecture [8] M. Hayenga, N. E. Jerger, and M. Lipasti(2009) “Scarab: A single cycle adaptive routing and bufferless network,” in Proceedings of the 42nd AnnualIEEE/ACM International Symposium on Microarchitecture. [9] A. K. Kodi, A. Sarathy, and A. Louri(2008) “ideal: Inter-router dual-function energy- and area- efficient links for network-on-chip (noc),” in Proceedings of the 35th International Symposium on Computer Architecture Beijing, China, pp. 241–250. [10] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das(2006)“ViChaR: A dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the 39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, pp. 333–346. [11] P. Guerrier and A. Greiner(2000)“A generic architecture for on-chip packet-switched interconnections,” in DATE ’00: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 250–256. [12] S. Borkar(1999) “Design challenges of technology scaling,” IEEE Micro, vol. 19, pp. 23–29. [13] D. U. Becker and W. J. Dally, “Allocator implementations for networkon-chip routers,” in SC ’09: Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis, 2009, pp.1–12. [14] R. Mullins, A. West, and S. Moore, “Low-latency virtual-channel routers for on-chip networks,” in ISCA ’04: Proceedings of the 31st Annual International Symposium on Computer Architecture,Jun. 2004, pp. 188–197. Authors Biography Bhavana Prakash Shrivatava received her degree in Electronics and Communication Engineering in 2003, M.Tech. degree in Digital Communication Systems in2007.Now she is persuing her Ph.D degree in VLSI design under the guidance of Dr.Kavita Khare. She is working as Assistant Professor in Electronics and Communication Engineering in MANIT, Bhopal. Her fields of interest are VLSI design and Communication Systems and networking.She is fellow of IEEE (India). Kavita Khare received her B.Tech degree in Electronics and Communication Engineering in 1989, M.Tech. degree in Digital Communication Systems in 1993 and Ph.D. degree in the field of VLSI Design in 2005. She has nearly 100 publications in various international conferences and journals. Currently, she is working as Professor of Electronics and Communication Engineering in MANIT, Bhopal. Her fields of interest are VLSI design and Communication Systems. Her research mainly includes design of arithmetic circuits and various communication algorithms related to synchronization, estimation and routing. Dr. Khare is a Fellow IETE (India) and Life Member ISTE.