SlideShare a Scribd company logo
1 of 1
ELASTISTORE: FLEXIBLE ELASTIC BUFFERING FOR
VIRTUAL-CHANNEL-BASED NETWORKS ON CHIP
ABSTRACT:
As multicore systems transition to the many-core realm, the pressure on the
interconnection network is substantially elevated. The network on chip (NoC) is expected to
undertake the expanding demands of the ever-increasing numbers of processing elements, while
its area/power footprint remains severely constrained. Hence, low-cost NoC designs that achieve
high-throughput and low-latency operation are imperative for future scalability. While the
buffers of the NoC routers are key enablers of high performance, they are also major consumers
of area and power. In this paper, we extend elastic buffer (EB) architectures to support multiple
virtual channels (VCs), and we derive ElastiStore, a novel lightweight EB architecture that
minimizes buffering requirements without sacrificing performance. ElastiStore uses just one
register per VC and a shared buffer sized large enough to merely cover the round-trip time that
appears either on the NoC links or due to the internal pipeline of the NoC routers. The
integration of the proposed EB scheme in the NoC router enables the design of efficient
architectures, which offer the same performance as baseline VC-based routers, albeit at a
significantly lower cost. Cycle-accurate network simulations including both synthetic traffic
patterns and real application workloads running in a full-system simulation framework verify the
efficacy of the proposed architecture. Moreover, the hardware implementation results using a 45-
nm standard-cell library demonstrate ElastiStore’s efficiency.

More Related Content

Similar to Elastistore flexible elastic buffering for virtual-channel-based networks on chip

SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC VLSICS Design
 
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOCSMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOCVLSICS Design
 
Ijarcet vol-2-issue-4-1420-1427
Ijarcet vol-2-issue-4-1420-1427Ijarcet vol-2-issue-4-1420-1427
Ijarcet vol-2-issue-4-1420-1427Editor IJARCET
 
Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chipDhiraj Chaudhary
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chipDhiraj Chaudhary
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyIJRES Journal
 
Low power network on chip architectures: A survey
Low power network on chip architectures: A surveyLow power network on chip architectures: A survey
Low power network on chip architectures: A surveyCSITiaesprime
 
Reconfigurable network on chip
Reconfigurable network on chipReconfigurable network on chip
Reconfigurable network on chipAngelinaRoyappa1
 
Network Virtualization using Shortest Path Bridging
Network Virtualization using Shortest Path Bridging Network Virtualization using Shortest Path Bridging
Network Virtualization using Shortest Path Bridging Motty Ben Atia
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelIJMER
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...VLSICS Design
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
 
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryOptimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryIJASCSE
 
A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...jpstudcorner
 
RITISH AGGARWAL
RITISH AGGARWALRITISH AGGARWAL
RITISH AGGARWAL9914814928
 
cisco-n3k-c31108tc-v-datasheet.pdf
cisco-n3k-c31108tc-v-datasheet.pdfcisco-n3k-c31108tc-v-datasheet.pdf
cisco-n3k-c31108tc-v-datasheet.pdfHi-Network.com
 
vPC techonology for full ha from dc core to baremetel server.
vPC techonology for full ha from dc core to baremetel server.vPC techonology for full ha from dc core to baremetel server.
vPC techonology for full ha from dc core to baremetel server.Ajeet Singh
 
Java channel allocation and routing in hybrid multichannel multiradio wirele...
Java  channel allocation and routing in hybrid multichannel multiradio wirele...Java  channel allocation and routing in hybrid multichannel multiradio wirele...
Java channel allocation and routing in hybrid multichannel multiradio wirele...ecwayerode
 
Channel allocation and routing in hybrid multichannel multiradio wireless mes...
Channel allocation and routing in hybrid multichannel multiradio wireless mes...Channel allocation and routing in hybrid multichannel multiradio wireless mes...
Channel allocation and routing in hybrid multichannel multiradio wireless mes...ecway
 

Similar to Elastistore flexible elastic buffering for virtual-channel-based networks on chip (20)

SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
 
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOCSMART MULTICROSSBAR ROUTER DESIGN IN NOC
SMART MULTICROSSBAR ROUTER DESIGN IN NOC
 
Ijarcet vol-2-issue-4-1420-1427
Ijarcet vol-2-issue-4-1420-1427Ijarcet vol-2-issue-4-1420-1427
Ijarcet vol-2-issue-4-1420-1427
 
Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chip
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chip
 
Network on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A surveyNetwork on Chip Architecture and Routing Techniques: A survey
Network on Chip Architecture and Routing Techniques: A survey
 
Low power network on chip architectures: A survey
Low power network on chip architectures: A surveyLow power network on chip architectures: A survey
Low power network on chip architectures: A survey
 
Reconfigurable network on chip
Reconfigurable network on chipReconfigurable network on chip
Reconfigurable network on chip
 
Network Virtualization using Shortest Path Bridging
Network Virtualization using Shortest Path Bridging Network Virtualization using Shortest Path Bridging
Network Virtualization using Shortest Path Bridging
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc Model
 
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
MINIMALLY BUFFERED ROUTER USING WEIGHTED DEFLECTION ROUTING FOR MESH NETWORK ...
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
 
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryOptimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
 
A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...A dynamically reconfigurable multi asip architecture for multistandard and mu...
A dynamically reconfigurable multi asip architecture for multistandard and mu...
 
RITISH AGGARWAL
RITISH AGGARWALRITISH AGGARWAL
RITISH AGGARWAL
 
cisco-n3k-c31108tc-v-datasheet.pdf
cisco-n3k-c31108tc-v-datasheet.pdfcisco-n3k-c31108tc-v-datasheet.pdf
cisco-n3k-c31108tc-v-datasheet.pdf
 
vPC techonology for full ha from dc core to baremetel server.
vPC techonology for full ha from dc core to baremetel server.vPC techonology for full ha from dc core to baremetel server.
vPC techonology for full ha from dc core to baremetel server.
 
Java channel allocation and routing in hybrid multichannel multiradio wirele...
Java  channel allocation and routing in hybrid multichannel multiradio wirele...Java  channel allocation and routing in hybrid multichannel multiradio wirele...
Java channel allocation and routing in hybrid multichannel multiradio wirele...
 
Channel allocation and routing in hybrid multichannel multiradio wireless mes...
Channel allocation and routing in hybrid multichannel multiradio wireless mes...Channel allocation and routing in hybrid multichannel multiradio wireless mes...
Channel allocation and routing in hybrid multichannel multiradio wireless mes...
 

More from I3E Technologies

Design of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulatorDesign of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulatorI3E Technologies
 
An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...I3E Technologies
 
Aging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logicAging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logicI3E Technologies
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...I3E Technologies
 
A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...I3E Technologies
 
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fftA combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fftI3E Technologies
 
Reverse converter design via parallel prefix adders novel components, method...
Reverse converter design via parallel prefix adders  novel components, method...Reverse converter design via parallel prefix adders  novel components, method...
Reverse converter design via parallel prefix adders novel components, method...I3E Technologies
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingI3E Technologies
 
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...I3E Technologies
 
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...I3E Technologies
 
Ultrasparse ac link converters
Ultrasparse ac link convertersUltrasparse ac link converters
Ultrasparse ac link convertersI3E Technologies
 
Single inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converterSingle inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converterI3E Technologies
 
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...I3E Technologies
 
Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...I3E Technologies
 
Reliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost convertersReliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost convertersI3E Technologies
 
Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...I3E Technologies
 
Pfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drivePfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor driveI3E Technologies
 
Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...I3E Technologies
 
Online variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverterOnline variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverterI3E Technologies
 

More from I3E Technologies (20)

Add
AddAdd
Add
 
Design of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulatorDesign of a low voltage low-dropout regulator
Design of a low voltage low-dropout regulator
 
An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...An efficient constant multiplier architecture based on vertical horizontal bi...
An efficient constant multiplier architecture based on vertical horizontal bi...
 
Aging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logicAging aware reliable multiplier design with adaptive hold logic
Aging aware reliable multiplier design with adaptive hold logic
 
A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...A high performance fir filter architecture for fixed and reconfigurable appli...
A high performance fir filter architecture for fixed and reconfigurable appli...
 
A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...A generalized algorithm and reconfigurable architecture for efficient and sca...
A generalized algorithm and reconfigurable architecture for efficient and sca...
 
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fftA combined sdc sdf architecture for normal i o pipelined radix-2 fft
A combined sdc sdf architecture for normal i o pipelined radix-2 fft
 
Reverse converter design via parallel prefix adders novel components, method...
Reverse converter design via parallel prefix adders  novel components, method...Reverse converter design via parallel prefix adders  novel components, method...
Reverse converter design via parallel prefix adders novel components, method...
 
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encodingPre encoded multipliers based on non-redundant radix-4 signed-digit encoding
Pre encoded multipliers based on non-redundant radix-4 signed-digit encoding
 
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
Energy optimized subthreshold vlsi logic family with unbalanced pull up down ...
 
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
Variable form carrier-based pwm for boost-voltage motor driver with a charge-...
 
Ultrasparse ac link converters
Ultrasparse ac link convertersUltrasparse ac link converters
Ultrasparse ac link converters
 
Single inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converterSingle inductor dual-output buck–boost power factor correction converter
Single inductor dual-output buck–boost power factor correction converter
 
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...Ripple minimization through harmonic elimination in asymmetric interleaved mu...
Ripple minimization through harmonic elimination in asymmetric interleaved mu...
 
Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...Resonance analysis and soft switching design of isolated boost converter with...
Resonance analysis and soft switching design of isolated boost converter with...
 
Reliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost convertersReliability evaluation of conventional and interleaved dc–dc boost converters
Reliability evaluation of conventional and interleaved dc–dc boost converters
 
Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...Power factor corrected zeta converter based improved power quality switched m...
Power factor corrected zeta converter based improved power quality switched m...
 
Pfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drivePfc cuk converter fed bldc motor drive
Pfc cuk converter fed bldc motor drive
 
Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...Optimized operation of current fed dual active bridge dc dc converter for pv ...
Optimized operation of current fed dual active bridge dc dc converter for pv ...
 
Online variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverterOnline variable topology type photovoltaic grid-connected inverter
Online variable topology type photovoltaic grid-connected inverter
 

Recently uploaded

ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxfenichawla
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 

Recently uploaded (20)

ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 

Elastistore flexible elastic buffering for virtual-channel-based networks on chip

  • 1. ELASTISTORE: FLEXIBLE ELASTIC BUFFERING FOR VIRTUAL-CHANNEL-BASED NETWORKS ON CHIP ABSTRACT: As multicore systems transition to the many-core realm, the pressure on the interconnection network is substantially elevated. The network on chip (NoC) is expected to undertake the expanding demands of the ever-increasing numbers of processing elements, while its area/power footprint remains severely constrained. Hence, low-cost NoC designs that achieve high-throughput and low-latency operation are imperative for future scalability. While the buffers of the NoC routers are key enablers of high performance, they are also major consumers of area and power. In this paper, we extend elastic buffer (EB) architectures to support multiple virtual channels (VCs), and we derive ElastiStore, a novel lightweight EB architecture that minimizes buffering requirements without sacrificing performance. ElastiStore uses just one register per VC and a shared buffer sized large enough to merely cover the round-trip time that appears either on the NoC links or due to the internal pipeline of the NoC routers. The integration of the proposed EB scheme in the NoC router enables the design of efficient architectures, which offer the same performance as baseline VC-based routers, albeit at a significantly lower cost. Cycle-accurate network simulations including both synthetic traffic patterns and real application workloads running in a full-system simulation framework verify the efficacy of the proposed architecture. Moreover, the hardware implementation results using a 45- nm standard-cell library demonstrate ElastiStore’s efficiency.