SlideShare a Scribd company logo
1 of 11
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
DOI : 10.5121/vlsic.2012.3307 71
PERFORMANCE EVALUATION OF CDMA
ROUTER FOR NETWORK - ON - CHIP
Anant W. Hinganikar1
, Mahendra A. Gaikwad2
and Rajendra M. Patrikar3
1
Department of E&T, B.D.College of Engineering, Sevagram (Wardha)-India
anant.hinganikar@yahoo.com
2
Department of EC, B.D.College of Engineering, Sevagram (Wardha)-India
gaikma@rediffmail.com
3
Department of EC, VNIT), Nagpur-India
Rajendra@computer.org
Abstract
This paper presents the performance evaluation of router based on code division multiple access technique
(CDMA) for Network-on-Chip (NoC). The design is synthesized using Xilinx Virtex4 XC4VLX200 device.
The functional behavior is verified using Modelsim XE III 6.2 C. The delay and throughput values are
obtained for variable payload sizes. Throughput-Power and Delay-Power characteristics are also verified
for NoC.
Keywords
CDMA, Walsh Code, Router, NoC
1. INTRODUCTION
Traditionally, ICs have been designed with dedicated point-to-point connections, with one wire
dedicated to each signal. Now with new developments in chip manufacturing technologies several
Intellectual Property (I.P.) blocks such as processor cores, memories, dedicated hardware can be
built on single chip with high increase in computation performance. For such rising computation
performance the communication bandwidth requirement also increases with same rate.[1][3]
The traditional bus based system is not suitable for communication, among these increasing on-
chip resources. The wires occupy much of the area of the chip and wiring delays are becoming
dominant over gate delays. [4][5]. Networks are generally preferable to such buses because they
have higher bandwidth and support multiple concurrent communications. [6]
Network-on-Chip (NoC) is an approach to designing the communication subsystem IP-cores in a
System-on-Chip (SoC). NoC applies networking theory and methods to on-chip communication
and brings notable improvements over conventional bus and crossbar interconnections. NoC
improves the scalability of SoCs. Network-on-Chip (NoC) is an emerging paradigm for
communications within large VLSI systems implemented on a single silicon chip. Network-on-
Chip is spreading rapidly with the development of new architectures and new efficient routing
techniques.
Although NoCs borrows the concepts and techniques from the well-established domain of
computer networking, it is impractical to blindly reuse features of classical computer networks.
With NoC-
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
72
[i] It is possible to take advantage of part of the technology developed for packet switched
networks in the field of communication theory and computer networks, adapting those
concepts to the particular constraints of on chip interconnection.
[ii] It is possible to achieve a very high grade of flexibility.
[iii] It is possible to make design modular by extensive use of parameterized independent
functional blocks.
[iv] Functional blocks can be reconfigured by mutually connecting them in different manners in
order to create particular topology needed for a given application.
[v] It is possible to easily integrate IP cores developed by different people or companies,
provided that this modules share a common interface for communication with the external
environment.
[vi] Computing and communication environments are separated.
We have proposed a NoC router based on CDMA technique. Mostly the CDMA technique is
widely used in wireless communication but some researchers have proposed various ways of
applying CDMA technique for on chip wired communication. We have proposed the packet
switched CDMA router which handles the issues - flow control and contention resolution. The
rest of the paper is organized as follows. Section 2 gives brief idea about CDMA technique.
Section 3 deals with the architecture of CDMA router. Synthesis results are summarized in
section 4. Finally conclusion is drawn in section 5.
2. CDMA Technique
CDMA is a spread spectrum technique that uses a set of orthogonal codes to encode the input
sequence from different sources before transmission. The encoded data from different senders are
added together for transmission without interfering with each other, which is achieved by the
orthogonal property of spreading code. The orthogonal property means normalized
autocorrelation and cross correlation values of spreading codes are 1 and 0 respectively. Auto
correlation of spreading codes refers to the sum of the product of codeword with itself whereas
cross correlation refers to the sum of product of two different codewords.
At the receiving end the data can be decoded from received sum signals by multiplying the
received signals with spreading codes used for encoding. This is possible because of the
orthogonal property of spreading codes.
text
text text
text
text
INPUT
SEQUENCE # 1
INPUT
SEQUENCE# N
SPREADING CODE # 1
SPREADING CODE # N
OUTPUT
SEQUENCE # 1
OUTPUT
SEQUENCE # NBITWISE
ADDITION
SPREADING CODE # 1
SPREADING CODE # N
Figure 1. CDMA Communication
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
73
3. CDMA Router Architecture
The CDMA router using three IP cores is shown in figure 2.Router architecture consists of six
basic functional blocks- FIFO Buffer (BUF), Walsh Code Generator (WCG), Scheduler,
Modulator (MOD), Code Adder, and Demodulator (DEMOD). The input packets from different
IP Cores are stored in FIFO buffer of depth four. The packet consists of two-bit destination
address, two-bit source address and a variable length payload. The two-bit source address is also
transmitted to the destination along with the payload.
We have used Walsh code of length four as a spreading code for modulation. Each resource is
assigned a fixed source address and corresponding fixed Walsh code as indicated in Table 1.
Table 1. Walsh Codes assigned to resources
Resource Source Address Walsh Code
(Reserved) 00 0000
IP1 01 0101
IP2 10 0011
IP3 11 0110
The all zero Walsh Code is reserved for the condition when there is no data to transmit with the
resource. The Walsh code is generated from the source address. The router design is scalable as
by selecting the Walsh code of higher length, number of IP cores attached to the router can be
increased.
WCG
MOD1
SCHEDULAR
CODE ADDER
WCG
DEMOD1
M3
M2
M1 D1
D3
D2
BUF1IP1
WCG
MOD2
DEMOD2
WCG
MOD3
WCG
DEMOD3
WCG
IP3
IP2
BUF2
BUF3
DI1 SI1 DI2 SI2 DI3 SI3
Figure 2. CDMA Router Architecture
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
74
3.1 FIFO Buffer
The input buffering is used in the design. The length of the buffer is equal to the packet size and it
is having a depth of four that holds four packets. When clock and enable are at logic high, the
complete input packet is shifted to next memory slot. Thus minimum four clocks are required for
the packet to come out of the buffer.
DATAIN DATAOUT
CLK
EN
Figure 3. FIFO Buffer
3.2 Scheduler
Contention resolution is an important task in router. If two or more resources are sending data to
one destination at same time then there is contention for destination. This contention is resolved
by assigning priorities to the resources based on different requirements. Since we have
implemented the router for three resources there can be contention for destination due to
maximum of two resources. The scheduling algorithm is designed by observing different
conditions for contention. All the possible conditions for contention are summarized in Table 2.
Table 2. Conditions for contention
case source destination Contention
for
1
01 10/11
D1
10 01
11 01
2
01 10
D2
10 01/11
11 10
3
01 11
D3
10 11
11 01/10
4
01 10/11 No
Contention10 11/01
11 01/10
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
75
Figure 4. Conceptual Diagram of Scheduler
Figure 4 shows the conceptual diagram of scheduler. The four bit headers from all the resources
which include two bit source address and two bit destination address are input to the scheduler.
As per the scheduling algorithm, scheduler outputs source addresses and destination addresses to
the Walsh Code Generators both at source and destination sides.
3.3 Walsh Code Generator
The Walsh code possesses the orthogonal and balance property which is essential for the CDMA
communication. The Balance Property of Walsh code indicates equal number of 1’s and 0’s in the
code.
Table 3. Truth table for Walsh Code Generation
INPUT OUTPUT
S0 S1 W0 W1 W2 W3
0 0 0 0 0 0
0 1 0 1 0 1
1 0 0 0 1 1
1 1 0 1 1 0
Table 3 indicates truth table for generation of Walsh Code of length four from two bit source
addresses.
Figure 5. Walsh Code Generator
Figure 5 indicates the circuit realized from the truth table which is used for the generation of
Walsh Code.
S0
S1
W3
W2
W1
W0
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
76
3.4 Modulator
2 x 1 MUX
2 x 1 MUX
2 x 1 MUX
2 x 1 MUX
SEL
SEL
SEL
SEL
INPUT DATA BIT
INVERTER
WALSH CODE
I0
I1
I0
I0
I0
I1
I1
I1
Z1(0)
Z1(1)
Z1(2)
Z1(3)
W3 W2 W1 W0
INVERTER
INVERTER
INVERTER
Figure 6. Modulator for 1 Bit
Figure 6 indicates the modulator for one bit. The four bit Walsh Code from Walsh Code
Generator is assigned to the four MUXes as indicated in figure. Data bit is assigned to the select
line of all the four MUXes. Modulation is carried out in parallel. The pseudo code of modulation
algorithm is given bellow.
IF (data bit = = 0) THEN
{ Transmit Codeword Itself }
ElSIF (data bit = = 1) THEN
{ Transmit Inverted Codeword }
END IF
3.5 Code Adder
The modulated data bits from all the three resources are added together in code adder. This added
data is transmitted to the demodulators of all the three resources simultaneously in parallel.
Figure 7 shows the code adder for 1 bit data from all the three resources.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
77
Code Adder 1
Code Adder 2
Code Adder 3
Code Adder 4
Z1(0)
Z2(0)
Z3(0)
Z1(1)
Z2(1)
Z3(1)
Z1(2)
Z2(2)
Z3(2)
Z1(3)
Z2(3)
Z3(3)
S1(0)
S1(1)
S2(0)
S2(1)
S3(0)
S3(1)
SO(0)
SO(1)
1BitModulatedDatafromallthreeResources
ModulatedandAddedOutputfor1Bit
Figure 7. Code Adder for 1 Bit
3.6 Demodulator
The modulated and added data is simultaneously sent to the demodulators of all the three
resources. The data intended for particular demodulator is recovered with the help of Walsh Code.
The demodulation algorithm is illustrated bellow. The demodulation is carried out in completely
parallel manner. The demodulation algorithm given bellow decodes 1 bit of data. The algorithm is
used in parallel to decode all the data bits.
Step 1:
S0(0) S0(1)
W3W2W2W1W1W0W0
S2(1) S3(0)
W3
S3(1)S2(0)S1(1)S1(0)
XOR
P0 P1 P2 P3 P4 P5 P6 P7
Step 2:
P0 P2 P4 P6
P1 P3 P5 P7
AND
T0 T1 T2 T3
Step 3:
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
78
T0
T1
T2
T3
OR
OR
OR
Q
4. SYNTHESIS RESULTS
The CDMA NoC router architecture was synthesized on Xilinx Virtex4 XC4VLX200 device with
the FF1513 package and the -10 speed grade. The functional behavior of CDMA router
architecture was verified using Modelsim XE III 6.2 C.
Table 4 summarizes the optimal frequency and total delay for various payload sizes. The total
delay is distributed in logic and route delay. The delay is constant for variable range of payload.
Table 4. Synthesis Results
Payload
Size
Optimal
Frequency
Throughput
Total
Delay
Logic Route
233.781 MHz
233.781 MHz
233.781 MHz
233.781 MHz
233.781 MHz
5.610 Gbps
11.221 Gbps
22.442 Gbps
16.83 Gbps
28.05 Gbps
4.277 ns 1.485 ns(34.7%) 2.793 ns(65.3%)
4.277 ns
4.277 ns
4.277 ns
4.277 ns
1.485 ns(34.7%)
1.485 ns(34.7%)
1.485 ns(34.7%)
1.485 ns(34.7%)
2.793 ns(65.3%)
2.793 ns(65.3%)
2.793 ns(65.3%)
2.793 ns(65.3%)
= +
8 Bit
16 Bit
24 Bit
32 Bit
40 Bit
Since the complete communication is in parallel the throughput is computed as (3 Resources)
*(Number of payload bits)*(Clock Frequency). [2] The throughput values are obtained in Gbps.
Figure 8 shows throughput for different payload sizes. Since overall delay is constant and hence
the optimal frequency, throughput is found to be the function of number of payload bits by
keeping number of resources constant.
Figure 9 shows delay for different values of computed throughput. The delay is constant for all
the values of throughput.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
Figure 8. Pay
Figure 10 and 11 gives throughput
Figure 10. Throughput Vs Static Power
0
5
10
15
20
25
30
throughputinGbps
0
0.5
1
1.5
2
2.5
3
3.5
4
4.5
Delayinns
1000
1200
1400
1600
staticpowerinmW
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
Figure 8. Payload Vs Throughput
Figure 9. Throughput Vs Delay
Figure 10 and 11 gives throughput-Power and Delay-Power characteristics
Figure 10. Throughput Vs Static Power
8 16 24 32 40
5.61
11.221
16.83
22.442
28.05
payload size in bits
5.61 11.221 16.83 22.44 28.05
throughput in Gbps
1467 1467 1467 1467 1467
0
200
400
600
800
1000
1200
1400
1600
5.61 11.221 16.83 22.44 28.05
Delay in ns
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
79
Power characteristics
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
80
Figure 11. Delay Vs Static Power
5. CONCLUSION
The proposed CDMA is scalable, modular and reusable which satisfies the basic requirements of
NoC. In the proposed CDMA router scheme for NoC it has been observed that delay is constant
for various ranges of payloads. The concurrent transmission of data increases overall throughput.
REFERENCES
[1] Daewook Kim, Manho Kim and Gerald E. Sobelman, “CDMA Based Network On Chip Architecture”
in IEEE Asia Pacific Conference on Circuits and Systems, pp. 137–140, 2004.
[2] Daewook Kim, Manho Kim and Gerald E. Sobelman, ”Design of high performance scalable CDMA
router for on-Chip Switched Network” in ISSOC, 2005, pp.32–35.
[3] Tatjana Nikolić, Goran Djordjević, and Mile Stojčev, “Simultaneous data transfers over peripheral
bus using CDMA Technique” in 26th international conference on Microelectronics,
IEEE conference publications, 2008 On page(s): 437.
[4] Ankur Agarwal, Florida Atlantic University, Boca Raton, Cyril Iskander, Hi-Tek Multisystems,
Canada, Ravi Shankar, Florida Atlantic University, Boca Raton, “Survey of Network on Chip
(NoC) Architectures & Contributions” in the journal of Engineering Computing and Architecture
ISSN 1934-7197 Volume 3, Issue 1, 2009.
[5] Jun Ho Bahn, Seung Eun Lee and Nader Bagherzadeh, “On Design and Application Mapping of a
Network-On-Chip (NoC) Architecture” in Parallel Processing Letters. 01/2008; 18:239-255.
[6] William J. Dally and Brian Towles, “Route Packets Not Wires-On-Chip Interconnection Network”
DAC 2001, June 18-22, 2001, Las Vegas, Nevada, USA.
[7] Xin Wang, Jari Nurmi “An On-Chip CDMA Communication Network” in Proceedings
International Symposium on System-on-Chip; 12/2005.
[8] Ryuji Yoshimura, Tan Boon Keat, Toru Ogawa, Shingo Hatanaka,Toshimasa Matsuoka, Kenji
Taniguchi “DS-CDMA Wired Bus with Simple Interconnection Topology for Parallel Processing
System LSIs” in IEEE International Solid-State Circuits Conference, pp. 370–371, Feb. 2000.
[9] Manho Kim, Daewook Kim and Gerald E. Sobelman, “Adaptive Scheduling for CDMA-Based
Networks-on-Chip” in IEEE-NEWCAS Conference, The 3rd International; 07/2005.
[10] Ik-Jae Chun, Tae-Moon Roh, and Bo-Gwan Kim, “Binary-Truncated CDMA-Based On-Chip
Network” in IEEE International Symposium on Circuits and Systems(ISCAS), pp. 397-400, 2007
[11] Daewook Kim, Manho Kim and Gerald E. Sobelman, “FPGA- based CDMA Switch for Networks-
on-Chip” in 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM
2005), 17-20 April 2005, Napa, CA, USA, Proceedings; 01/2005.
[12] Erno Salminen, Ari Kulmala, and Timo D. H¨am¨al¨ainen “Survey of Network-on-chip Proposals” a
White Paper, OCP-IP, MARCH 2008.
1467
0
200
400
600
800
1000
1200
1400
1600
4.277
staticpowerinmW
Delay in ns
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012
81
[13] Xin Wang, Tapani Ahonen, and Jari Nurmi ‘Applying CDMA Technique to Network-on-Chip” in
IEEE transactions on very large scale integration (VLSI) systems,Vol.15, NO. 10, October 2007.
[14] Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, Martti Forsell, Mikael Millberg, Johny Öberg1,
Kari Tiensyrjä and Ahmed Hemani, “A Network on Chip Architecture And Design Methodology” in
IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 105-112, 2002.
[15] Jun Ho Bahn, Seung Eun Lee and Nader Bagherzadeh “Design Of Router for Network on Chip” in
International Journal on High Performance System Architecture, Vol. 1, No.2, 2007.
[16] XinWang and Jari Nurmi “Comparison of a Ring On-Chip Network and a Code-Division Multiple-
Access On-Chip Network” in Hindawi Publishing Corporation, VLSI Design Volume 2007, Article
ID 18372, 14 pages.
[17] Israel Cidon and Idit Keidar, “Zooming in on Network–on–Chip Architectures” in Technion
Department of Electrical Engineering, Tech. Rep. CCIT 565, Dec. 2005.
Authors
Anant W. Hinganikar did his BE in Electronics Engineering in 2005 from Nagpur
university. He completed his M. Tech from Bapurao Deshmukh College of
Engineering, Sevagram, Nagpur University. Currently he is working as a Assistant
Professor in the department of Electronics and Telecommunication Engineering,
Sevagram (Wardha). He is a life member of Indian Society for Technical Education.
Mahendra A. Gaikwad did his BE in Electronics Engineering in 1991 from Nagpur
University. He did his MBA in Marketing Management from Nagpur University and he
has completed his MCM from Nagpur University. He did his Master’s Degree in
Personal Administration from Nagpur University. He did his M.Tech in
Communication Engineering from Indian Institute of Technology, Bombay in 1998.
He has completed his PhD on “Network -on-Chip architecture using Perfect Difference
Network Topology” at VNIT, Nagpur in 2012. Currently he is working as Professor
and Dean (R&D) at Bapurao Deshmukh College of Engineering, Sewagram (Wardha).
He is the life member of professional bodies like Indian Society for Technical Education, Institution of
Engineers (India), Indian Society for Telecommunication Engineers. He is also invitee member of
Institution of Engineers (India), Nagpur local Chapter, Nagpur. He is also the member of Computer Society
of India
Dr.Rajendra Patrikar did his M.Sc. in Physics from Nagpur University. He did M.Tech
in Electrical Engineering from IIT Bombay. He joined as Research engineer in the
Microelectronics Project at IIT Bombay. He completed his PhD from the same
department. He joined as faculty at IIT Bombay after working for a year at Computer
vision R&D Pune. Later he moved to Singapore to work in TECH Semiconductor in
Advance Device Technology
After working there for three years he moved Institute of High Performance Computing Singapore where
he carried research work in the area of CAD for VLSI and nano-electronics. He has published about 30
papers International Journals, international conferences and also filed one patent in USA in the area of
VLSI Currently he is working as a professor & Dean (academic) at VNIT Nagpur.

More Related Content

What's hot

Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)
Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)
Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)keiko277
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachIJERA Editor
 
CCNA Introducing Networks Questions
CCNA Introducing Networks QuestionsCCNA Introducing Networks Questions
CCNA Introducing Networks QuestionsDsunte Wilson
 
Computer Networks Module III
Computer Networks Module IIIComputer Networks Module III
Computer Networks Module IIIAjit Nayak
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Computer Networks Module II
Computer Networks Module IIComputer Networks Module II
Computer Networks Module IIAjit Nayak
 
[Mcq] computer communication networks lmt2
[Mcq] computer communication networks   lmt2[Mcq] computer communication networks   lmt2
[Mcq] computer communication networks lmt2raghad mejeed
 
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...ijitjournal
 

What's hot (20)

Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)
Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)
Cisco.actualtests.200 120.v2013-12-10.by.watson.314q (2 new q)
 
Lecture 06
Lecture 06Lecture 06
Lecture 06
 
K0216571
K0216571K0216571
K0216571
 
Ijetcas14 378
Ijetcas14 378Ijetcas14 378
Ijetcas14 378
 
Ff34970973
Ff34970973Ff34970973
Ff34970973
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing Approach
 
All nsbm exam papers
All nsbm exam papersAll nsbm exam papers
All nsbm exam papers
 
20CS2008 Computer Networks
20CS2008 Computer Networks 20CS2008 Computer Networks
20CS2008 Computer Networks
 
CCNA Exam Question
CCNA Exam QuestionCCNA Exam Question
CCNA Exam Question
 
CCNA Introducing Networks Questions
CCNA Introducing Networks QuestionsCCNA Introducing Networks Questions
CCNA Introducing Networks Questions
 
Interprocess Message Formats
Interprocess Message FormatsInterprocess Message Formats
Interprocess Message Formats
 
Cs8591 qb
Cs8591 qbCs8591 qb
Cs8591 qb
 
Multi Process Message Formats
Multi Process Message FormatsMulti Process Message Formats
Multi Process Message Formats
 
Network Layer
Network LayerNetwork Layer
Network Layer
 
Computer Networks Module III
Computer Networks Module IIIComputer Networks Module III
Computer Networks Module III
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Computer Networks Module II
Computer Networks Module IIComputer Networks Module II
Computer Networks Module II
 
Ccna 200-120 Exam Dumps
Ccna 200-120 Exam DumpsCcna 200-120 Exam Dumps
Ccna 200-120 Exam Dumps
 
[Mcq] computer communication networks lmt2
[Mcq] computer communication networks   lmt2[Mcq] computer communication networks   lmt2
[Mcq] computer communication networks lmt2
 
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
Hardware implementation of (63, 51) bch encoder and decoder for wban using lf...
 

Similar to CDMA Router Performance for NoC

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPVLSICS Design
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipVLSICS Design
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionijasuc
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachIJERA Editor
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...eSAT Journals
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...eSAT Publishing House
 
Wireless adhoc multi access networks
Wireless adhoc multi access networksWireless adhoc multi access networks
Wireless adhoc multi access networksIJCNCJournal
 
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier SystemsFPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier SystemsIJMER
 
A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)journalBEEI
 
477818. paper(92-97)ctts زیلایی
477818. paper(92-97)ctts زیلایی477818. paper(92-97)ctts زیلایی
477818. paper(92-97)ctts زیلاییmorteza zilaie bouri
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...eSAT Journals
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIOSRJVSP
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...IJMER
 
Five Port Router Architecture
Five Port Router ArchitectureFive Port Router Architecture
Five Port Router Architectureijsrd.com
 
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOC
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOCIMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOC
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOCVLSICS Design
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdfIjictTeam
 

Similar to CDMA Router Performance for NoC (20)

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIPAREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP
 
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-ChipArea-Efficient Design of Scheduler for Routing Node of Network-On-Chip
Area-Efficient Design of Scheduler for Routing Node of Network-On-Chip
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmission
 
Investigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing ApproachInvestigating the Performance of NoC Using Hierarchical Routing Approach
Investigating the Performance of NoC Using Hierarchical Routing Approach
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
 
Wireless adhoc multi access networks
Wireless adhoc multi access networksWireless adhoc multi access networks
Wireless adhoc multi access networks
 
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier SystemsFPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
 
A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)A efficacy of different buffer size on latency of network on chip (NoC)
A efficacy of different buffer size on latency of network on chip (NoC)
 
477818. paper(92-97)ctts زیلایی
477818. paper(92-97)ctts زیلایی477818. paper(92-97)ctts زیلایی
477818. paper(92-97)ctts زیلایی
 
Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...Performance analysis and implementation of modified sdm based noc for mpsoc o...
Performance analysis and implementation of modified sdm based noc for mpsoc o...
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
 
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design SystemIC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
IC Layout Design of 4-bit Magnitude Comparator using Electric VLSI Design System
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
 
Project-Report
Project-ReportProject-Report
Project-Report
 
Five Port Router Architecture
Five Port Router ArchitectureFive Port Router Architecture
Five Port Router Architecture
 
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOC
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOCIMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOC
IMPROVED EXTENDED XY ON-CHIP ROUTING IN DIAMETRICAL 2D MESH NOC
 
20607-39024-1-PB.pdf
20607-39024-1-PB.pdf20607-39024-1-PB.pdf
20607-39024-1-PB.pdf
 

Recently uploaded

Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 

Recently uploaded (20)

Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 

CDMA Router Performance for NoC

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 DOI : 10.5121/vlsic.2012.3307 71 PERFORMANCE EVALUATION OF CDMA ROUTER FOR NETWORK - ON - CHIP Anant W. Hinganikar1 , Mahendra A. Gaikwad2 and Rajendra M. Patrikar3 1 Department of E&T, B.D.College of Engineering, Sevagram (Wardha)-India anant.hinganikar@yahoo.com 2 Department of EC, B.D.College of Engineering, Sevagram (Wardha)-India gaikma@rediffmail.com 3 Department of EC, VNIT), Nagpur-India Rajendra@computer.org Abstract This paper presents the performance evaluation of router based on code division multiple access technique (CDMA) for Network-on-Chip (NoC). The design is synthesized using Xilinx Virtex4 XC4VLX200 device. The functional behavior is verified using Modelsim XE III 6.2 C. The delay and throughput values are obtained for variable payload sizes. Throughput-Power and Delay-Power characteristics are also verified for NoC. Keywords CDMA, Walsh Code, Router, NoC 1. INTRODUCTION Traditionally, ICs have been designed with dedicated point-to-point connections, with one wire dedicated to each signal. Now with new developments in chip manufacturing technologies several Intellectual Property (I.P.) blocks such as processor cores, memories, dedicated hardware can be built on single chip with high increase in computation performance. For such rising computation performance the communication bandwidth requirement also increases with same rate.[1][3] The traditional bus based system is not suitable for communication, among these increasing on- chip resources. The wires occupy much of the area of the chip and wiring delays are becoming dominant over gate delays. [4][5]. Networks are generally preferable to such buses because they have higher bandwidth and support multiple concurrent communications. [6] Network-on-Chip (NoC) is an approach to designing the communication subsystem IP-cores in a System-on-Chip (SoC). NoC applies networking theory and methods to on-chip communication and brings notable improvements over conventional bus and crossbar interconnections. NoC improves the scalability of SoCs. Network-on-Chip (NoC) is an emerging paradigm for communications within large VLSI systems implemented on a single silicon chip. Network-on- Chip is spreading rapidly with the development of new architectures and new efficient routing techniques. Although NoCs borrows the concepts and techniques from the well-established domain of computer networking, it is impractical to blindly reuse features of classical computer networks. With NoC-
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 72 [i] It is possible to take advantage of part of the technology developed for packet switched networks in the field of communication theory and computer networks, adapting those concepts to the particular constraints of on chip interconnection. [ii] It is possible to achieve a very high grade of flexibility. [iii] It is possible to make design modular by extensive use of parameterized independent functional blocks. [iv] Functional blocks can be reconfigured by mutually connecting them in different manners in order to create particular topology needed for a given application. [v] It is possible to easily integrate IP cores developed by different people or companies, provided that this modules share a common interface for communication with the external environment. [vi] Computing and communication environments are separated. We have proposed a NoC router based on CDMA technique. Mostly the CDMA technique is widely used in wireless communication but some researchers have proposed various ways of applying CDMA technique for on chip wired communication. We have proposed the packet switched CDMA router which handles the issues - flow control and contention resolution. The rest of the paper is organized as follows. Section 2 gives brief idea about CDMA technique. Section 3 deals with the architecture of CDMA router. Synthesis results are summarized in section 4. Finally conclusion is drawn in section 5. 2. CDMA Technique CDMA is a spread spectrum technique that uses a set of orthogonal codes to encode the input sequence from different sources before transmission. The encoded data from different senders are added together for transmission without interfering with each other, which is achieved by the orthogonal property of spreading code. The orthogonal property means normalized autocorrelation and cross correlation values of spreading codes are 1 and 0 respectively. Auto correlation of spreading codes refers to the sum of the product of codeword with itself whereas cross correlation refers to the sum of product of two different codewords. At the receiving end the data can be decoded from received sum signals by multiplying the received signals with spreading codes used for encoding. This is possible because of the orthogonal property of spreading codes. text text text text text INPUT SEQUENCE # 1 INPUT SEQUENCE# N SPREADING CODE # 1 SPREADING CODE # N OUTPUT SEQUENCE # 1 OUTPUT SEQUENCE # NBITWISE ADDITION SPREADING CODE # 1 SPREADING CODE # N Figure 1. CDMA Communication
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 73 3. CDMA Router Architecture The CDMA router using three IP cores is shown in figure 2.Router architecture consists of six basic functional blocks- FIFO Buffer (BUF), Walsh Code Generator (WCG), Scheduler, Modulator (MOD), Code Adder, and Demodulator (DEMOD). The input packets from different IP Cores are stored in FIFO buffer of depth four. The packet consists of two-bit destination address, two-bit source address and a variable length payload. The two-bit source address is also transmitted to the destination along with the payload. We have used Walsh code of length four as a spreading code for modulation. Each resource is assigned a fixed source address and corresponding fixed Walsh code as indicated in Table 1. Table 1. Walsh Codes assigned to resources Resource Source Address Walsh Code (Reserved) 00 0000 IP1 01 0101 IP2 10 0011 IP3 11 0110 The all zero Walsh Code is reserved for the condition when there is no data to transmit with the resource. The Walsh code is generated from the source address. The router design is scalable as by selecting the Walsh code of higher length, number of IP cores attached to the router can be increased. WCG MOD1 SCHEDULAR CODE ADDER WCG DEMOD1 M3 M2 M1 D1 D3 D2 BUF1IP1 WCG MOD2 DEMOD2 WCG MOD3 WCG DEMOD3 WCG IP3 IP2 BUF2 BUF3 DI1 SI1 DI2 SI2 DI3 SI3 Figure 2. CDMA Router Architecture
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 74 3.1 FIFO Buffer The input buffering is used in the design. The length of the buffer is equal to the packet size and it is having a depth of four that holds four packets. When clock and enable are at logic high, the complete input packet is shifted to next memory slot. Thus minimum four clocks are required for the packet to come out of the buffer. DATAIN DATAOUT CLK EN Figure 3. FIFO Buffer 3.2 Scheduler Contention resolution is an important task in router. If two or more resources are sending data to one destination at same time then there is contention for destination. This contention is resolved by assigning priorities to the resources based on different requirements. Since we have implemented the router for three resources there can be contention for destination due to maximum of two resources. The scheduling algorithm is designed by observing different conditions for contention. All the possible conditions for contention are summarized in Table 2. Table 2. Conditions for contention case source destination Contention for 1 01 10/11 D1 10 01 11 01 2 01 10 D2 10 01/11 11 10 3 01 11 D3 10 11 11 01/10 4 01 10/11 No Contention10 11/01 11 01/10
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 75 Figure 4. Conceptual Diagram of Scheduler Figure 4 shows the conceptual diagram of scheduler. The four bit headers from all the resources which include two bit source address and two bit destination address are input to the scheduler. As per the scheduling algorithm, scheduler outputs source addresses and destination addresses to the Walsh Code Generators both at source and destination sides. 3.3 Walsh Code Generator The Walsh code possesses the orthogonal and balance property which is essential for the CDMA communication. The Balance Property of Walsh code indicates equal number of 1’s and 0’s in the code. Table 3. Truth table for Walsh Code Generation INPUT OUTPUT S0 S1 W0 W1 W2 W3 0 0 0 0 0 0 0 1 0 1 0 1 1 0 0 0 1 1 1 1 0 1 1 0 Table 3 indicates truth table for generation of Walsh Code of length four from two bit source addresses. Figure 5. Walsh Code Generator Figure 5 indicates the circuit realized from the truth table which is used for the generation of Walsh Code. S0 S1 W3 W2 W1 W0
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 76 3.4 Modulator 2 x 1 MUX 2 x 1 MUX 2 x 1 MUX 2 x 1 MUX SEL SEL SEL SEL INPUT DATA BIT INVERTER WALSH CODE I0 I1 I0 I0 I0 I1 I1 I1 Z1(0) Z1(1) Z1(2) Z1(3) W3 W2 W1 W0 INVERTER INVERTER INVERTER Figure 6. Modulator for 1 Bit Figure 6 indicates the modulator for one bit. The four bit Walsh Code from Walsh Code Generator is assigned to the four MUXes as indicated in figure. Data bit is assigned to the select line of all the four MUXes. Modulation is carried out in parallel. The pseudo code of modulation algorithm is given bellow. IF (data bit = = 0) THEN { Transmit Codeword Itself } ElSIF (data bit = = 1) THEN { Transmit Inverted Codeword } END IF 3.5 Code Adder The modulated data bits from all the three resources are added together in code adder. This added data is transmitted to the demodulators of all the three resources simultaneously in parallel. Figure 7 shows the code adder for 1 bit data from all the three resources.
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 77 Code Adder 1 Code Adder 2 Code Adder 3 Code Adder 4 Z1(0) Z2(0) Z3(0) Z1(1) Z2(1) Z3(1) Z1(2) Z2(2) Z3(2) Z1(3) Z2(3) Z3(3) S1(0) S1(1) S2(0) S2(1) S3(0) S3(1) SO(0) SO(1) 1BitModulatedDatafromallthreeResources ModulatedandAddedOutputfor1Bit Figure 7. Code Adder for 1 Bit 3.6 Demodulator The modulated and added data is simultaneously sent to the demodulators of all the three resources. The data intended for particular demodulator is recovered with the help of Walsh Code. The demodulation algorithm is illustrated bellow. The demodulation is carried out in completely parallel manner. The demodulation algorithm given bellow decodes 1 bit of data. The algorithm is used in parallel to decode all the data bits. Step 1: S0(0) S0(1) W3W2W2W1W1W0W0 S2(1) S3(0) W3 S3(1)S2(0)S1(1)S1(0) XOR P0 P1 P2 P3 P4 P5 P6 P7 Step 2: P0 P2 P4 P6 P1 P3 P5 P7 AND T0 T1 T2 T3 Step 3:
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 78 T0 T1 T2 T3 OR OR OR Q 4. SYNTHESIS RESULTS The CDMA NoC router architecture was synthesized on Xilinx Virtex4 XC4VLX200 device with the FF1513 package and the -10 speed grade. The functional behavior of CDMA router architecture was verified using Modelsim XE III 6.2 C. Table 4 summarizes the optimal frequency and total delay for various payload sizes. The total delay is distributed in logic and route delay. The delay is constant for variable range of payload. Table 4. Synthesis Results Payload Size Optimal Frequency Throughput Total Delay Logic Route 233.781 MHz 233.781 MHz 233.781 MHz 233.781 MHz 233.781 MHz 5.610 Gbps 11.221 Gbps 22.442 Gbps 16.83 Gbps 28.05 Gbps 4.277 ns 1.485 ns(34.7%) 2.793 ns(65.3%) 4.277 ns 4.277 ns 4.277 ns 4.277 ns 1.485 ns(34.7%) 1.485 ns(34.7%) 1.485 ns(34.7%) 1.485 ns(34.7%) 2.793 ns(65.3%) 2.793 ns(65.3%) 2.793 ns(65.3%) 2.793 ns(65.3%) = + 8 Bit 16 Bit 24 Bit 32 Bit 40 Bit Since the complete communication is in parallel the throughput is computed as (3 Resources) *(Number of payload bits)*(Clock Frequency). [2] The throughput values are obtained in Gbps. Figure 8 shows throughput for different payload sizes. Since overall delay is constant and hence the optimal frequency, throughput is found to be the function of number of payload bits by keeping number of resources constant. Figure 9 shows delay for different values of computed throughput. The delay is constant for all the values of throughput.
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 Figure 8. Pay Figure 10 and 11 gives throughput Figure 10. Throughput Vs Static Power 0 5 10 15 20 25 30 throughputinGbps 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 Delayinns 1000 1200 1400 1600 staticpowerinmW International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 Figure 8. Payload Vs Throughput Figure 9. Throughput Vs Delay Figure 10 and 11 gives throughput-Power and Delay-Power characteristics Figure 10. Throughput Vs Static Power 8 16 24 32 40 5.61 11.221 16.83 22.442 28.05 payload size in bits 5.61 11.221 16.83 22.44 28.05 throughput in Gbps 1467 1467 1467 1467 1467 0 200 400 600 800 1000 1200 1400 1600 5.61 11.221 16.83 22.44 28.05 Delay in ns International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 79 Power characteristics
  • 10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 80 Figure 11. Delay Vs Static Power 5. CONCLUSION The proposed CDMA is scalable, modular and reusable which satisfies the basic requirements of NoC. In the proposed CDMA router scheme for NoC it has been observed that delay is constant for various ranges of payloads. The concurrent transmission of data increases overall throughput. REFERENCES [1] Daewook Kim, Manho Kim and Gerald E. Sobelman, “CDMA Based Network On Chip Architecture” in IEEE Asia Pacific Conference on Circuits and Systems, pp. 137–140, 2004. [2] Daewook Kim, Manho Kim and Gerald E. Sobelman, ”Design of high performance scalable CDMA router for on-Chip Switched Network” in ISSOC, 2005, pp.32–35. [3] Tatjana Nikolić, Goran Djordjević, and Mile Stojčev, “Simultaneous data transfers over peripheral bus using CDMA Technique” in 26th international conference on Microelectronics, IEEE conference publications, 2008 On page(s): 437. [4] Ankur Agarwal, Florida Atlantic University, Boca Raton, Cyril Iskander, Hi-Tek Multisystems, Canada, Ravi Shankar, Florida Atlantic University, Boca Raton, “Survey of Network on Chip (NoC) Architectures & Contributions” in the journal of Engineering Computing and Architecture ISSN 1934-7197 Volume 3, Issue 1, 2009. [5] Jun Ho Bahn, Seung Eun Lee and Nader Bagherzadeh, “On Design and Application Mapping of a Network-On-Chip (NoC) Architecture” in Parallel Processing Letters. 01/2008; 18:239-255. [6] William J. Dally and Brian Towles, “Route Packets Not Wires-On-Chip Interconnection Network” DAC 2001, June 18-22, 2001, Las Vegas, Nevada, USA. [7] Xin Wang, Jari Nurmi “An On-Chip CDMA Communication Network” in Proceedings International Symposium on System-on-Chip; 12/2005. [8] Ryuji Yoshimura, Tan Boon Keat, Toru Ogawa, Shingo Hatanaka,Toshimasa Matsuoka, Kenji Taniguchi “DS-CDMA Wired Bus with Simple Interconnection Topology for Parallel Processing System LSIs” in IEEE International Solid-State Circuits Conference, pp. 370–371, Feb. 2000. [9] Manho Kim, Daewook Kim and Gerald E. Sobelman, “Adaptive Scheduling for CDMA-Based Networks-on-Chip” in IEEE-NEWCAS Conference, The 3rd International; 07/2005. [10] Ik-Jae Chun, Tae-Moon Roh, and Bo-Gwan Kim, “Binary-Truncated CDMA-Based On-Chip Network” in IEEE International Symposium on Circuits and Systems(ISCAS), pp. 397-400, 2007 [11] Daewook Kim, Manho Kim and Gerald E. Sobelman, “FPGA- based CDMA Switch for Networks- on-Chip” in 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 17-20 April 2005, Napa, CA, USA, Proceedings; 01/2005. [12] Erno Salminen, Ari Kulmala, and Timo D. H¨am¨al¨ainen “Survey of Network-on-chip Proposals” a White Paper, OCP-IP, MARCH 2008. 1467 0 200 400 600 800 1000 1200 1400 1600 4.277 staticpowerinmW Delay in ns
  • 11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.3, June 2012 81 [13] Xin Wang, Tapani Ahonen, and Jari Nurmi ‘Applying CDMA Technique to Network-on-Chip” in IEEE transactions on very large scale integration (VLSI) systems,Vol.15, NO. 10, October 2007. [14] Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, Martti Forsell, Mikael Millberg, Johny Öberg1, Kari Tiensyrjä and Ahmed Hemani, “A Network on Chip Architecture And Design Methodology” in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 105-112, 2002. [15] Jun Ho Bahn, Seung Eun Lee and Nader Bagherzadeh “Design Of Router for Network on Chip” in International Journal on High Performance System Architecture, Vol. 1, No.2, 2007. [16] XinWang and Jari Nurmi “Comparison of a Ring On-Chip Network and a Code-Division Multiple- Access On-Chip Network” in Hindawi Publishing Corporation, VLSI Design Volume 2007, Article ID 18372, 14 pages. [17] Israel Cidon and Idit Keidar, “Zooming in on Network–on–Chip Architectures” in Technion Department of Electrical Engineering, Tech. Rep. CCIT 565, Dec. 2005. Authors Anant W. Hinganikar did his BE in Electronics Engineering in 2005 from Nagpur university. He completed his M. Tech from Bapurao Deshmukh College of Engineering, Sevagram, Nagpur University. Currently he is working as a Assistant Professor in the department of Electronics and Telecommunication Engineering, Sevagram (Wardha). He is a life member of Indian Society for Technical Education. Mahendra A. Gaikwad did his BE in Electronics Engineering in 1991 from Nagpur University. He did his MBA in Marketing Management from Nagpur University and he has completed his MCM from Nagpur University. He did his Master’s Degree in Personal Administration from Nagpur University. He did his M.Tech in Communication Engineering from Indian Institute of Technology, Bombay in 1998. He has completed his PhD on “Network -on-Chip architecture using Perfect Difference Network Topology” at VNIT, Nagpur in 2012. Currently he is working as Professor and Dean (R&D) at Bapurao Deshmukh College of Engineering, Sewagram (Wardha). He is the life member of professional bodies like Indian Society for Technical Education, Institution of Engineers (India), Indian Society for Telecommunication Engineers. He is also invitee member of Institution of Engineers (India), Nagpur local Chapter, Nagpur. He is also the member of Computer Society of India Dr.Rajendra Patrikar did his M.Sc. in Physics from Nagpur University. He did M.Tech in Electrical Engineering from IIT Bombay. He joined as Research engineer in the Microelectronics Project at IIT Bombay. He completed his PhD from the same department. He joined as faculty at IIT Bombay after working for a year at Computer vision R&D Pune. Later he moved to Singapore to work in TECH Semiconductor in Advance Device Technology After working there for three years he moved Institute of High Performance Computing Singapore where he carried research work in the area of CAD for VLSI and nano-electronics. He has published about 30 papers International Journals, international conferences and also filed one patent in USA in the area of VLSI Currently he is working as a professor & Dean (academic) at VNIT Nagpur.